tinyriscv/FPGA/constrs
liangkangnan 22ed29a149 add SPI pin
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-05-06 23:10:42 +08:00
..
tinyriscv.xdc add SPI pin 2020-05-06 23:10:42 +08:00