tinyriscv/tests/riscv-compliance/build_generated/rv32i/I-SRL-01.elf