tinyriscv/tests/isa/generated/rv32ui-p-lw.dump

263 lines
8.9 KiB
Plaintext

generated/rv32ui-p-lw: file format elf32-littleriscv
Disassembly of section .text.init:
00000000 <_start>:
0: 10005073 csrwi sstatus,0
00000004 <test_2>:
4: 00001097 auipc ra,0x1
8: ffc08093 addi ra,ra,-4 # 1000 <begin_signature>
c: 0000af03 lw t5,0(ra)
10: 00ff0eb7 lui t4,0xff0
14: 0ffe8e93 addi t4,t4,255 # ff00ff <_end+0xfef077>
18: 00200193 li gp,2
1c: 27df1a63 bne t5,t4,290 <fail>
00000020 <test_3>:
20: 00001097 auipc ra,0x1
24: fe008093 addi ra,ra,-32 # 1000 <begin_signature>
28: 0040af03 lw t5,4(ra)
2c: ff010eb7 lui t4,0xff010
30: f00e8e93 addi t4,t4,-256 # ff00ff00 <_end+0xff00ee78>
34: 00300193 li gp,3
38: 25df1c63 bne t5,t4,290 <fail>
0000003c <test_4>:
3c: 00001097 auipc ra,0x1
40: fc408093 addi ra,ra,-60 # 1000 <begin_signature>
44: 0080af03 lw t5,8(ra)
48: 0ff01eb7 lui t4,0xff01
4c: ff0e8e93 addi t4,t4,-16 # ff00ff0 <_end+0xfefff68>
50: 00400193 li gp,4
54: 23df1e63 bne t5,t4,290 <fail>
00000058 <test_5>:
58: 00001097 auipc ra,0x1
5c: fa808093 addi ra,ra,-88 # 1000 <begin_signature>
60: 00c0af03 lw t5,12(ra)
64: f00ffeb7 lui t4,0xf00ff
68: 00fe8e93 addi t4,t4,15 # f00ff00f <_end+0xf00fdf87>
6c: 00500193 li gp,5
70: 23df1063 bne t5,t4,290 <fail>
00000074 <test_6>:
74: 00001097 auipc ra,0x1
78: f9808093 addi ra,ra,-104 # 100c <tdat4>
7c: ff40af03 lw t5,-12(ra)
80: 00ff0eb7 lui t4,0xff0
84: 0ffe8e93 addi t4,t4,255 # ff00ff <_end+0xfef077>
88: 00600193 li gp,6
8c: 21df1263 bne t5,t4,290 <fail>
00000090 <test_7>:
90: 00001097 auipc ra,0x1
94: f7c08093 addi ra,ra,-132 # 100c <tdat4>
98: ff80af03 lw t5,-8(ra)
9c: ff010eb7 lui t4,0xff010
a0: f00e8e93 addi t4,t4,-256 # ff00ff00 <_end+0xff00ee78>
a4: 00700193 li gp,7
a8: 1fdf1463 bne t5,t4,290 <fail>
000000ac <test_8>:
ac: 00001097 auipc ra,0x1
b0: f6008093 addi ra,ra,-160 # 100c <tdat4>
b4: ffc0af03 lw t5,-4(ra)
b8: 0ff01eb7 lui t4,0xff01
bc: ff0e8e93 addi t4,t4,-16 # ff00ff0 <_end+0xfefff68>
c0: 00800193 li gp,8
c4: 1ddf1663 bne t5,t4,290 <fail>
000000c8 <test_9>:
c8: 00001097 auipc ra,0x1
cc: f4408093 addi ra,ra,-188 # 100c <tdat4>
d0: 0000af03 lw t5,0(ra)
d4: f00ffeb7 lui t4,0xf00ff
d8: 00fe8e93 addi t4,t4,15 # f00ff00f <_end+0xf00fdf87>
dc: 00900193 li gp,9
e0: 1bdf1863 bne t5,t4,290 <fail>
000000e4 <test_10>:
e4: 00001097 auipc ra,0x1
e8: f1c08093 addi ra,ra,-228 # 1000 <begin_signature>
ec: fe008093 addi ra,ra,-32
f0: 0200a283 lw t0,32(ra)
f4: 00ff0eb7 lui t4,0xff0
f8: 0ffe8e93 addi t4,t4,255 # ff00ff <_end+0xfef077>
fc: 00a00193 li gp,10
100: 19d29863 bne t0,t4,290 <fail>
00000104 <test_11>:
104: 00001097 auipc ra,0x1
108: efc08093 addi ra,ra,-260 # 1000 <begin_signature>
10c: ffd08093 addi ra,ra,-3
110: 0070a283 lw t0,7(ra)
114: ff010eb7 lui t4,0xff010
118: f00e8e93 addi t4,t4,-256 # ff00ff00 <_end+0xff00ee78>
11c: 00b00193 li gp,11
120: 17d29863 bne t0,t4,290 <fail>
00000124 <test_12>:
124: 00c00193 li gp,12
128: 00000213 li tp,0
12c: 00001097 auipc ra,0x1
130: ed808093 addi ra,ra,-296 # 1004 <tdat2>
134: 0040af03 lw t5,4(ra)
138: 000f0313 mv t1,t5
13c: 0ff01eb7 lui t4,0xff01
140: ff0e8e93 addi t4,t4,-16 # ff00ff0 <_end+0xfefff68>
144: 15d31663 bne t1,t4,290 <fail>
148: 00120213 addi tp,tp,1 # 1 <_start+0x1>
14c: 00200293 li t0,2
150: fc521ee3 bne tp,t0,12c <test_12+0x8>
00000154 <test_13>:
154: 00d00193 li gp,13
158: 00000213 li tp,0
15c: 00001097 auipc ra,0x1
160: eac08093 addi ra,ra,-340 # 1008 <tdat3>
164: 0040af03 lw t5,4(ra)
168: 00000013 nop
16c: 000f0313 mv t1,t5
170: f00ffeb7 lui t4,0xf00ff
174: 00fe8e93 addi t4,t4,15 # f00ff00f <_end+0xf00fdf87>
178: 11d31c63 bne t1,t4,290 <fail>
17c: 00120213 addi tp,tp,1 # 1 <_start+0x1>
180: 00200293 li t0,2
184: fc521ce3 bne tp,t0,15c <test_13+0x8>
00000188 <test_14>:
188: 00e00193 li gp,14
18c: 00000213 li tp,0
190: 00001097 auipc ra,0x1
194: e7008093 addi ra,ra,-400 # 1000 <begin_signature>
198: 0040af03 lw t5,4(ra)
19c: 00000013 nop
1a0: 00000013 nop
1a4: 000f0313 mv t1,t5
1a8: ff010eb7 lui t4,0xff010
1ac: f00e8e93 addi t4,t4,-256 # ff00ff00 <_end+0xff00ee78>
1b0: 0fd31063 bne t1,t4,290 <fail>
1b4: 00120213 addi tp,tp,1 # 1 <_start+0x1>
1b8: 00200293 li t0,2
1bc: fc521ae3 bne tp,t0,190 <test_14+0x8>
000001c0 <test_15>:
1c0: 00f00193 li gp,15
1c4: 00000213 li tp,0
1c8: 00001097 auipc ra,0x1
1cc: e3c08093 addi ra,ra,-452 # 1004 <tdat2>
1d0: 0040af03 lw t5,4(ra)
1d4: 0ff01eb7 lui t4,0xff01
1d8: ff0e8e93 addi t4,t4,-16 # ff00ff0 <_end+0xfefff68>
1dc: 0bdf1a63 bne t5,t4,290 <fail>
1e0: 00120213 addi tp,tp,1 # 1 <_start+0x1>
1e4: 00200293 li t0,2
1e8: fe5210e3 bne tp,t0,1c8 <test_15+0x8>
000001ec <test_16>:
1ec: 01000193 li gp,16
1f0: 00000213 li tp,0
1f4: 00001097 auipc ra,0x1
1f8: e1408093 addi ra,ra,-492 # 1008 <tdat3>
1fc: 00000013 nop
200: 0040af03 lw t5,4(ra)
204: f00ffeb7 lui t4,0xf00ff
208: 00fe8e93 addi t4,t4,15 # f00ff00f <_end+0xf00fdf87>
20c: 09df1263 bne t5,t4,290 <fail>
210: 00120213 addi tp,tp,1 # 1 <_start+0x1>
214: 00200293 li t0,2
218: fc521ee3 bne tp,t0,1f4 <test_16+0x8>
0000021c <test_17>:
21c: 01100193 li gp,17
220: 00000213 li tp,0
224: 00001097 auipc ra,0x1
228: ddc08093 addi ra,ra,-548 # 1000 <begin_signature>
22c: 00000013 nop
230: 00000013 nop
234: 0040af03 lw t5,4(ra)
238: ff010eb7 lui t4,0xff010
23c: f00e8e93 addi t4,t4,-256 # ff00ff00 <_end+0xff00ee78>
240: 05df1863 bne t5,t4,290 <fail>
244: 00120213 addi tp,tp,1 # 1 <_start+0x1>
248: 00200293 li t0,2
24c: fc521ce3 bne tp,t0,224 <test_17+0x8>
00000250 <test_18>:
250: 00001297 auipc t0,0x1
254: db028293 addi t0,t0,-592 # 1000 <begin_signature>
258: 0002a103 lw sp,0(t0)
25c: 00200113 li sp,2
260: 00200e93 li t4,2
264: 01200193 li gp,18
268: 03d11463 bne sp,t4,290 <fail>
0000026c <test_19>:
26c: 00001297 auipc t0,0x1
270: d9428293 addi t0,t0,-620 # 1000 <begin_signature>
274: 0002a103 lw sp,0(t0)
278: 00000013 nop
27c: 00200113 li sp,2
280: 00200e93 li t4,2
284: 01300193 li gp,19
288: 01d11463 bne sp,t4,290 <fail>
28c: 00301663 bne zero,gp,298 <pass>
00000290 <fail>:
290: 1000d073 csrwi sstatus,1
00000294 <loop_fail>:
294: 0000006f j 294 <loop_fail>
00000298 <pass>:
298: 1001d073 csrwi sstatus,3
0000029c <loop_pass>:
29c: 0000006f j 29c <loop_pass>
...
Disassembly of section .data:
00001000 <begin_signature>:
1000: 00ff 0xff
1002: 00ff 0xff
00001004 <tdat2>:
1004: ff00 fsw fs0,56(a4)
1006: ff00 fsw fs0,56(a4)
00001008 <tdat3>:
1008: 0ff0 addi a2,sp,988
100a: 0ff0 addi a2,sp,988
0000100c <tdat4>:
100c: f00ff00f 0xf00ff00f
Disassembly of section .tohost:
00001040 <tohost>:
...
00001080 <fromhost>:
...
Disassembly of section .riscv.attributes:
00000000 <.riscv.attributes>:
0: 1d41 addi s10,s10,-16
2: 0000 unimp
4: 7200 flw fs0,32(a2)
6: 7369 lui t1,0xffffa
8: 01007663 bgeu zero,a6,14 <test_2+0x10>
c: 00000013 nop
10: 7205 lui tp,0xfffe1
12: 3376 fld ft6,376(sp)
14: 6932 flw fs2,12(sp)
16: 7032 flw ft0,44(sp)
18: 0030 addi a2,sp,8
1a: 0108 addi a0,sp,128
1c: 0b0a slli s6,s6,0x2