tinyriscv/fpga/constrs
liangkangnan 8c751095fd fpga: constrs: add uart_debug_en pin
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-07-04 14:33:33 +08:00
..
tinyriscv.xdc fpga: constrs: add uart_debug_en pin 2020-07-04 14:33:33 +08:00