tinyriscv/tests/isa/generated/rv32ui-p-add.dump

433 lines
14 KiB
Plaintext

generated/rv32ui-p-add: file format elf32-littleriscv
Disassembly of section .text.init:
00000000 <_start>:
0: 10005073 csrwi sstatus,0
00000004 <test_2>:
4: 00000093 li ra,0
8: 00000113 li sp,0
c: 00208f33 add t5,ra,sp
10: 00000e93 li t4,0
14: 00200193 li gp,2
18: 4ddf1663 bne t5,t4,4e4 <fail>
0000001c <test_3>:
1c: 00100093 li ra,1
20: 00100113 li sp,1
24: 00208f33 add t5,ra,sp
28: 00200e93 li t4,2
2c: 00300193 li gp,3
30: 4bdf1a63 bne t5,t4,4e4 <fail>
00000034 <test_4>:
34: 00300093 li ra,3
38: 00700113 li sp,7
3c: 00208f33 add t5,ra,sp
40: 00a00e93 li t4,10
44: 00400193 li gp,4
48: 49df1e63 bne t5,t4,4e4 <fail>
0000004c <test_5>:
4c: 00000093 li ra,0
50: ffff8137 lui sp,0xffff8
54: 00208f33 add t5,ra,sp
58: ffff8eb7 lui t4,0xffff8
5c: 00500193 li gp,5
60: 49df1263 bne t5,t4,4e4 <fail>
00000064 <test_6>:
64: 800000b7 lui ra,0x80000
68: 00000113 li sp,0
6c: 00208f33 add t5,ra,sp
70: 80000eb7 lui t4,0x80000
74: 00600193 li gp,6
78: 47df1663 bne t5,t4,4e4 <fail>
0000007c <test_7>:
7c: 800000b7 lui ra,0x80000
80: ffff8137 lui sp,0xffff8
84: 00208f33 add t5,ra,sp
88: 7fff8eb7 lui t4,0x7fff8
8c: 00700193 li gp,7
90: 45df1a63 bne t5,t4,4e4 <fail>
00000094 <test_8>:
94: 00000093 li ra,0
98: 00008137 lui sp,0x8
9c: fff10113 addi sp,sp,-1 # 7fff <begin_signature+0x6fff>
a0: 00208f33 add t5,ra,sp
a4: 00008eb7 lui t4,0x8
a8: fffe8e93 addi t4,t4,-1 # 7fff <begin_signature+0x6fff>
ac: 00800193 li gp,8
b0: 43df1a63 bne t5,t4,4e4 <fail>
000000b4 <test_9>:
b4: 800000b7 lui ra,0x80000
b8: fff08093 addi ra,ra,-1 # 7fffffff <begin_signature+0x7fffefff>
bc: 00000113 li sp,0
c0: 00208f33 add t5,ra,sp
c4: 80000eb7 lui t4,0x80000
c8: fffe8e93 addi t4,t4,-1 # 7fffffff <begin_signature+0x7fffefff>
cc: 00900193 li gp,9
d0: 41df1a63 bne t5,t4,4e4 <fail>
000000d4 <test_10>:
d4: 800000b7 lui ra,0x80000
d8: fff08093 addi ra,ra,-1 # 7fffffff <begin_signature+0x7fffefff>
dc: 00008137 lui sp,0x8
e0: fff10113 addi sp,sp,-1 # 7fff <begin_signature+0x6fff>
e4: 00208f33 add t5,ra,sp
e8: 80008eb7 lui t4,0x80008
ec: ffee8e93 addi t4,t4,-2 # 80007ffe <begin_signature+0x80006ffe>
f0: 00a00193 li gp,10
f4: 3fdf1863 bne t5,t4,4e4 <fail>
000000f8 <test_11>:
f8: 800000b7 lui ra,0x80000
fc: 00008137 lui sp,0x8
100: fff10113 addi sp,sp,-1 # 7fff <begin_signature+0x6fff>
104: 00208f33 add t5,ra,sp
108: 80008eb7 lui t4,0x80008
10c: fffe8e93 addi t4,t4,-1 # 80007fff <begin_signature+0x80006fff>
110: 00b00193 li gp,11
114: 3ddf1863 bne t5,t4,4e4 <fail>
00000118 <test_12>:
118: 800000b7 lui ra,0x80000
11c: fff08093 addi ra,ra,-1 # 7fffffff <begin_signature+0x7fffefff>
120: ffff8137 lui sp,0xffff8
124: 00208f33 add t5,ra,sp
128: 7fff8eb7 lui t4,0x7fff8
12c: fffe8e93 addi t4,t4,-1 # 7fff7fff <begin_signature+0x7fff6fff>
130: 00c00193 li gp,12
134: 3bdf1863 bne t5,t4,4e4 <fail>
00000138 <test_13>:
138: 00000093 li ra,0
13c: fff00113 li sp,-1
140: 00208f33 add t5,ra,sp
144: fff00e93 li t4,-1
148: 00d00193 li gp,13
14c: 39df1c63 bne t5,t4,4e4 <fail>
00000150 <test_14>:
150: fff00093 li ra,-1
154: 00100113 li sp,1
158: 00208f33 add t5,ra,sp
15c: 00000e93 li t4,0
160: 00e00193 li gp,14
164: 39df1063 bne t5,t4,4e4 <fail>
00000168 <test_15>:
168: fff00093 li ra,-1
16c: fff00113 li sp,-1
170: 00208f33 add t5,ra,sp
174: ffe00e93 li t4,-2
178: 00f00193 li gp,15
17c: 37df1463 bne t5,t4,4e4 <fail>
00000180 <test_16>:
180: 00100093 li ra,1
184: 80000137 lui sp,0x80000
188: fff10113 addi sp,sp,-1 # 7fffffff <begin_signature+0x7fffefff>
18c: 00208f33 add t5,ra,sp
190: 80000eb7 lui t4,0x80000
194: 01000193 li gp,16
198: 35df1663 bne t5,t4,4e4 <fail>
0000019c <test_17>:
19c: 00d00093 li ra,13
1a0: 00b00113 li sp,11
1a4: 002080b3 add ra,ra,sp
1a8: 01800e93 li t4,24
1ac: 01100193 li gp,17
1b0: 33d09a63 bne ra,t4,4e4 <fail>
000001b4 <test_18>:
1b4: 00e00093 li ra,14
1b8: 00b00113 li sp,11
1bc: 00208133 add sp,ra,sp
1c0: 01900e93 li t4,25
1c4: 01200193 li gp,18
1c8: 31d11e63 bne sp,t4,4e4 <fail>
000001cc <test_19>:
1cc: 00d00093 li ra,13
1d0: 001080b3 add ra,ra,ra
1d4: 01a00e93 li t4,26
1d8: 01300193 li gp,19
1dc: 31d09463 bne ra,t4,4e4 <fail>
000001e0 <test_20>:
1e0: 00000213 li tp,0
1e4: 00d00093 li ra,13
1e8: 00b00113 li sp,11
1ec: 00208f33 add t5,ra,sp
1f0: 000f0313 mv t1,t5
1f4: 00120213 addi tp,tp,1 # 1 <_start+0x1>
1f8: 00200293 li t0,2
1fc: fe5214e3 bne tp,t0,1e4 <test_20+0x4>
200: 01800e93 li t4,24
204: 01400193 li gp,20
208: 2dd31e63 bne t1,t4,4e4 <fail>
0000020c <test_21>:
20c: 00000213 li tp,0
210: 00e00093 li ra,14
214: 00b00113 li sp,11
218: 00208f33 add t5,ra,sp
21c: 00000013 nop
220: 000f0313 mv t1,t5
224: 00120213 addi tp,tp,1 # 1 <_start+0x1>
228: 00200293 li t0,2
22c: fe5212e3 bne tp,t0,210 <test_21+0x4>
230: 01900e93 li t4,25
234: 01500193 li gp,21
238: 2bd31663 bne t1,t4,4e4 <fail>
0000023c <test_22>:
23c: 00000213 li tp,0
240: 00f00093 li ra,15
244: 00b00113 li sp,11
248: 00208f33 add t5,ra,sp
24c: 00000013 nop
250: 00000013 nop
254: 000f0313 mv t1,t5
258: 00120213 addi tp,tp,1 # 1 <_start+0x1>
25c: 00200293 li t0,2
260: fe5210e3 bne tp,t0,240 <test_22+0x4>
264: 01a00e93 li t4,26
268: 01600193 li gp,22
26c: 27d31c63 bne t1,t4,4e4 <fail>
00000270 <test_23>:
270: 00000213 li tp,0
274: 00d00093 li ra,13
278: 00b00113 li sp,11
27c: 00208f33 add t5,ra,sp
280: 00120213 addi tp,tp,1 # 1 <_start+0x1>
284: 00200293 li t0,2
288: fe5216e3 bne tp,t0,274 <test_23+0x4>
28c: 01800e93 li t4,24
290: 01700193 li gp,23
294: 25df1863 bne t5,t4,4e4 <fail>
00000298 <test_24>:
298: 00000213 li tp,0
29c: 00e00093 li ra,14
2a0: 00b00113 li sp,11
2a4: 00000013 nop
2a8: 00208f33 add t5,ra,sp
2ac: 00120213 addi tp,tp,1 # 1 <_start+0x1>
2b0: 00200293 li t0,2
2b4: fe5214e3 bne tp,t0,29c <test_24+0x4>
2b8: 01900e93 li t4,25
2bc: 01800193 li gp,24
2c0: 23df1263 bne t5,t4,4e4 <fail>
000002c4 <test_25>:
2c4: 00000213 li tp,0
2c8: 00f00093 li ra,15
2cc: 00b00113 li sp,11
2d0: 00000013 nop
2d4: 00000013 nop
2d8: 00208f33 add t5,ra,sp
2dc: 00120213 addi tp,tp,1 # 1 <_start+0x1>
2e0: 00200293 li t0,2
2e4: fe5212e3 bne tp,t0,2c8 <test_25+0x4>
2e8: 01a00e93 li t4,26
2ec: 01900193 li gp,25
2f0: 1fdf1a63 bne t5,t4,4e4 <fail>
000002f4 <test_26>:
2f4: 00000213 li tp,0
2f8: 00d00093 li ra,13
2fc: 00000013 nop
300: 00b00113 li sp,11
304: 00208f33 add t5,ra,sp
308: 00120213 addi tp,tp,1 # 1 <_start+0x1>
30c: 00200293 li t0,2
310: fe5214e3 bne tp,t0,2f8 <test_26+0x4>
314: 01800e93 li t4,24
318: 01a00193 li gp,26
31c: 1ddf1463 bne t5,t4,4e4 <fail>
00000320 <test_27>:
320: 00000213 li tp,0
324: 00e00093 li ra,14
328: 00000013 nop
32c: 00b00113 li sp,11
330: 00000013 nop
334: 00208f33 add t5,ra,sp
338: 00120213 addi tp,tp,1 # 1 <_start+0x1>
33c: 00200293 li t0,2
340: fe5212e3 bne tp,t0,324 <test_27+0x4>
344: 01900e93 li t4,25
348: 01b00193 li gp,27
34c: 19df1c63 bne t5,t4,4e4 <fail>
00000350 <test_28>:
350: 00000213 li tp,0
354: 00f00093 li ra,15
358: 00000013 nop
35c: 00000013 nop
360: 00b00113 li sp,11
364: 00208f33 add t5,ra,sp
368: 00120213 addi tp,tp,1 # 1 <_start+0x1>
36c: 00200293 li t0,2
370: fe5212e3 bne tp,t0,354 <test_28+0x4>
374: 01a00e93 li t4,26
378: 01c00193 li gp,28
37c: 17df1463 bne t5,t4,4e4 <fail>
00000380 <test_29>:
380: 00000213 li tp,0
384: 00b00113 li sp,11
388: 00d00093 li ra,13
38c: 00208f33 add t5,ra,sp
390: 00120213 addi tp,tp,1 # 1 <_start+0x1>
394: 00200293 li t0,2
398: fe5216e3 bne tp,t0,384 <test_29+0x4>
39c: 01800e93 li t4,24
3a0: 01d00193 li gp,29
3a4: 15df1063 bne t5,t4,4e4 <fail>
000003a8 <test_30>:
3a8: 00000213 li tp,0
3ac: 00b00113 li sp,11
3b0: 00e00093 li ra,14
3b4: 00000013 nop
3b8: 00208f33 add t5,ra,sp
3bc: 00120213 addi tp,tp,1 # 1 <_start+0x1>
3c0: 00200293 li t0,2
3c4: fe5214e3 bne tp,t0,3ac <test_30+0x4>
3c8: 01900e93 li t4,25
3cc: 01e00193 li gp,30
3d0: 11df1a63 bne t5,t4,4e4 <fail>
000003d4 <test_31>:
3d4: 00000213 li tp,0
3d8: 00b00113 li sp,11
3dc: 00f00093 li ra,15
3e0: 00000013 nop
3e4: 00000013 nop
3e8: 00208f33 add t5,ra,sp
3ec: 00120213 addi tp,tp,1 # 1 <_start+0x1>
3f0: 00200293 li t0,2
3f4: fe5212e3 bne tp,t0,3d8 <test_31+0x4>
3f8: 01a00e93 li t4,26
3fc: 01f00193 li gp,31
400: 0fdf1263 bne t5,t4,4e4 <fail>
00000404 <test_32>:
404: 00000213 li tp,0
408: 00b00113 li sp,11
40c: 00000013 nop
410: 00d00093 li ra,13
414: 00208f33 add t5,ra,sp
418: 00120213 addi tp,tp,1 # 1 <_start+0x1>
41c: 00200293 li t0,2
420: fe5214e3 bne tp,t0,408 <test_32+0x4>
424: 01800e93 li t4,24
428: 02000193 li gp,32
42c: 0bdf1c63 bne t5,t4,4e4 <fail>
00000430 <test_33>:
430: 00000213 li tp,0
434: 00b00113 li sp,11
438: 00000013 nop
43c: 00e00093 li ra,14
440: 00000013 nop
444: 00208f33 add t5,ra,sp
448: 00120213 addi tp,tp,1 # 1 <_start+0x1>
44c: 00200293 li t0,2
450: fe5212e3 bne tp,t0,434 <test_33+0x4>
454: 01900e93 li t4,25
458: 02100193 li gp,33
45c: 09df1463 bne t5,t4,4e4 <fail>
00000460 <test_34>:
460: 00000213 li tp,0
464: 00b00113 li sp,11
468: 00000013 nop
46c: 00000013 nop
470: 00f00093 li ra,15
474: 00208f33 add t5,ra,sp
478: 00120213 addi tp,tp,1 # 1 <_start+0x1>
47c: 00200293 li t0,2
480: fe5212e3 bne tp,t0,464 <test_34+0x4>
484: 01a00e93 li t4,26
488: 02200193 li gp,34
48c: 05df1c63 bne t5,t4,4e4 <fail>
00000490 <test_35>:
490: 00f00093 li ra,15
494: 00100133 add sp,zero,ra
498: 00f00e93 li t4,15
49c: 02300193 li gp,35
4a0: 05d11263 bne sp,t4,4e4 <fail>
000004a4 <test_36>:
4a4: 02000093 li ra,32
4a8: 00008133 add sp,ra,zero
4ac: 02000e93 li t4,32
4b0: 02400193 li gp,36
4b4: 03d11863 bne sp,t4,4e4 <fail>
000004b8 <test_37>:
4b8: 000000b3 add ra,zero,zero
4bc: 00000e93 li t4,0
4c0: 02500193 li gp,37
4c4: 03d09063 bne ra,t4,4e4 <fail>
000004c8 <test_38>:
4c8: 01000093 li ra,16
4cc: 01e00113 li sp,30
4d0: 00208033 add zero,ra,sp
4d4: 00000e93 li t4,0
4d8: 02600193 li gp,38
4dc: 01d01463 bne zero,t4,4e4 <fail>
4e0: 00301663 bne zero,gp,4ec <pass>
000004e4 <fail>:
4e4: 1000d073 csrwi sstatus,1
000004e8 <loop_fail>:
4e8: 0000006f j 4e8 <loop_fail>
000004ec <pass>:
4ec: 1001d073 csrwi sstatus,3
000004f0 <loop_pass>:
4f0: 0000006f j 4f0 <loop_pass>
...
Disassembly of section .tohost:
00000540 <tohost>:
...
00000580 <fromhost>:
...
Disassembly of section .riscv.attributes:
00000000 <.riscv.attributes>:
0: 1d41 addi s10,s10,-16
2: 0000 unimp
4: 7200 flw fs0,32(a2)
6: 7369 lui t1,0xffffa
8: 01007663 bgeu zero,a6,14 <test_2+0x10>
c: 00000013 nop
10: 7205 lui tp,0xfffe1
12: 3376 fld ft6,376(sp)
14: 6932 flw fs2,12(sp)
16: 7032 flw ft0,44(sp)
18: 0030 addi a2,sp,8
1a: 0108 addi a0,sp,128
1c: 0b0a slli s6,s6,0x2