tinyriscv/fpga/constrs
liangkangnan 233bb1fb23 fpga: constrs: do not constraint JTAG_CLK clk
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-07-25 16:20:54 +08:00
..
tinyriscv.xdc fpga: constrs: do not constraint JTAG_CLK clk 2020-07-25 16:20:54 +08:00