$date Wed May 27 21:27:56 2020 $end $version Icarus Verilog $end $timescale 1ps $end $scope module tinyriscv_soc_tb $end $var wire 32 ! begin_signature [31:0] $end $var wire 32 " end_signature [31:0] $end $var wire 32 # ex_end_flag [31:0] $end $var wire 32 $ x26 [31:0] $end $var wire 32 % x27 [31:0] $end $var wire 32 & x3 [31:0] $end $var reg 1 ' clk $end $var reg 1 ( rst $end $var integer 32 ) fd [31:0] $end $var integer 32 * r [31:0] $end $scope module tinyriscv_soc_top_0 $end $var wire 1 ' clk $end $var wire 1 + halted_ind $end $var wire 1 , jtag_TCK $end $var wire 1 - jtag_TDI $end $var wire 1 . jtag_TMS $end $var wire 1 ( rst $end $var wire 1 / spi_miso $end $var wire 1 0 tx_pin $end $var wire 1 1 timer0_int $end $var wire 1 2 spi_ss $end $var wire 1 3 spi_mosi $end $var wire 1 4 spi_clk $end $var wire 1 5 s5_we_o $end $var wire 1 6 s5_req_o $end $var wire 32 7 s5_data_o [31:0] $end $var wire 32 8 s5_data_i [31:0] $end $var wire 32 9 s5_addr_o [31:0] $end $var wire 1 : s5_ack_i $end $var wire 1 ; s4_we_o $end $var wire 1 < s4_req_o $end $var wire 32 = s4_data_o [31:0] $end $var wire 32 > s4_data_i [31:0] $end $var wire 32 ? s4_addr_o [31:0] $end $var wire 1 @ s4_ack_i $end $var wire 1 A s3_we_o $end $var wire 1 B s3_req_o $end $var wire 32 C s3_data_o [31:0] $end $var wire 32 D s3_data_i [31:0] $end $var wire 32 E s3_addr_o [31:0] $end $var wire 1 F s3_ack_i $end $var wire 1 G s2_we_o $end $var wire 1 H s2_req_o $end $var wire 32 I s2_data_o [31:0] $end $var wire 32 J s2_data_i [31:0] $end $var wire 32 K s2_addr_o [31:0] $end $var wire 1 L s2_ack_i $end $var wire 1 M s1_we_o $end $var wire 1 N s1_req_o $end $var wire 32 O s1_data_o [31:0] $end $var wire 32 P s1_data_i [31:0] $end $var wire 32 Q s1_addr_o [31:0] $end $var wire 1 R s1_ack_i $end $var wire 1 S s0_we_o $end $var wire 1 T s0_req_o $end $var wire 32 U s0_data_o [31:0] $end $var wire 32 V s0_data_i [31:0] $end $var wire 32 W s0_addr_o [31:0] $end $var wire 1 X s0_ack_i $end $var wire 1 Y rib_hold_flag_o $end $var wire 1 Z m2_we_i $end $var wire 1 [ m2_req_i $end $var wire 32 \ m2_data_o [31:0] $end $var wire 32 ] m2_data_i [31:0] $end $var wire 32 ^ m2_addr_i [31:0] $end $var wire 1 _ m2_ack_o $end $var wire 32 ` m1_data_o [31:0] $end $var wire 32 a m1_addr_i [31:0] $end $var wire 1 b m1_ack_o $end $var wire 1 c m0_we_i $end $var wire 1 d m0_req_i $end $var wire 32 e m0_data_o [31:0] $end $var wire 32 f m0_data_i [31:0] $end $var wire 32 g m0_addr_i [31:0] $end $var wire 1 h m0_ack_o $end $var wire 1 i jtag_reset_req_o $end $var wire 1 j jtag_reg_we_o $end $var wire 32 k jtag_reg_data_o [31:0] $end $var wire 32 l jtag_reg_data_i [31:0] $end $var wire 5 m jtag_reg_addr_o [4:0] $end $var wire 1 n jtag_halt_req_o $end $var wire 1 o jtag_TDO $end $var wire 1 p io_pin $end $var wire 8 q int_flag [7:0] $end $var reg 1 r jtag_rst $end $var reg 3 s jtag_rst_cnt [2:0] $end $var reg 1 t over $end $var reg 1 u succ $end $scope module gpio_0 $end $var wire 1 ' clk $end $var wire 1 ( rst $end $var wire 1 ; we_i $end $var wire 1 < req_i $end $var wire 1 p io_pin $end $var wire 32 v data_i [31:0] $end $var wire 32 w addr_i [31:0] $end $var reg 1 @ ack_o $end $var reg 32 x data_o [31:0] $end $var reg 32 y gpio_data [31:0] $end $upscope $end $scope module spi_0 $end $var wire 1 ' clk $end $var wire 1 ( rst $end $var wire 1 / spi_miso $end $var wire 1 2 spi_ss $end $var wire 1 5 we_i $end $var wire 1 6 req_i $end $var wire 9 z div_cnt [8:0] $end $var wire 32 { data_i [31:0] $end $var wire 32 | addr_i [31:0] $end $var reg 1 : ack_o $end $var reg 4 } bit_index [3:0] $end $var reg 9 ~ clk_cnt [8:0] $end $var reg 32 !" data_o [31:0] $end $var reg 1 "" done $end $var reg 1 #" en $end $var reg 8 $" rdata [7:0] $end $var reg 1 4 spi_clk $end $var reg 5 %" spi_clk_edge_cnt [4:0] $end $var reg 1 &" spi_clk_edge_level $end $var reg 32 '" spi_ctrl [31:0] $end $var reg 32 (" spi_data [31:0] $end $var reg 1 3 spi_mosi $end $var reg 32 )" spi_status [31:0] $end $upscope $end $scope module timer_0 $end $var wire 1 ' clk $end $var wire 1 ( rst $end $var wire 1 G we_i $end $var wire 1 H req_i $end $var wire 32 *" data_i [31:0] $end $var wire 32 +" addr_i [31:0] $end $var reg 1 L ack_o $end $var reg 32 ," data_o [31:0] $end $var reg 1 1 int_sig_o $end $var reg 32 -" timer_count [31:0] $end $var reg 32 ." timer_ctrl [31:0] $end $var reg 32 /" timer_value [31:0] $end $upscope $end $scope module u_jtag_top $end $var wire 1 ' clk $end $var wire 1 , jtag_pin_TCK $end $var wire 1 - jtag_pin_TDI $end $var wire 1 . jtag_pin_TMS $end $var wire 1 r jtag_rst_n $end $var wire 32 0" mem_addr_o [31:0] $end $var wire 32 1" mem_wdata_o [31:0] $end $var wire 1 [ op_req_o $end $var wire 5 2" reg_addr_o [4:0] $end $var wire 32 3" reg_wdata_o [31:0] $end $var wire 32 4" reg_rdata_i [31:0] $end $var wire 32 5" mem_rdata_i [31:0] $end $var wire 1 o jtag_pin_TDO $end $var wire 1 6" dtm_req_valid $end $var wire 40 7" dtm_req_data [39:0] $end $var wire 40 8" dm_resp_data [39:0] $end $var wire 1 9" dm_reset_req_o $end $var wire 1 :" dm_reg_we_o $end $var wire 32 ;" dm_reg_wdata_o [31:0] $end $var wire 5 <" dm_reg_addr_o [4:0] $end $var wire 1 =" dm_op_req_o $end $var wire 1 >" dm_mem_we_o $end $var wire 32 ?" dm_mem_wdata_o [31:0] $end $var wire 32 @" dm_mem_addr_o [31:0] $end $var wire 1 A" dm_is_busy $end $var wire 1 B" dm_halt_req_o $end $var reg 1 n halt_req_o $end $var reg 1 Z mem_we_o $end $var reg 1 j reg_we_o $end $var reg 1 i reset_req_o $end $var reg 1 C" tmp_halt_req_o $end $var reg 1 D" tmp_mem_we_o $end $var reg 1 E" tmp_reg_we_o $end $var reg 1 F" tmp_reset_req_o $end $scope module u_jtag_dm $end $var wire 1 , clk $end $var wire 1 r rst_n $end $var wire 1 6" dtm_req_valid $end $var wire 40 G" dtm_req_data [39:0] $end $var wire 32 H" dm_reg_rdata [31:0] $end $var wire 32 I" dm_mem_rdata [31:0] $end $var reg 32 J" abstractcs [31:0] $end $var reg 6 K" address [5:0] $end $var reg 32 L" command [31:0] $end $var reg 32 M" data [31:0] $end $var reg 32 N" data0 [31:0] $end $var reg 32 O" dcsr [31:0] $end $var reg 1 B" dm_halt_req $end $var reg 1 A" dm_is_busy $end $var reg 32 P" dm_mem_addr [31:0] $end $var reg 32 Q" dm_mem_wdata [31:0] $end $var reg 1 >" dm_mem_we $end $var reg 1 =" dm_op_req $end $var reg 5 R" dm_reg_addr [4:0] $end $var reg 32 S" dm_reg_wdata [31:0] $end $var reg 1 :" dm_reg_we $end $var reg 1 9" dm_reset_req $end $var reg 40 T" dm_resp_data [39:0] $end $var reg 32 U" dmcontrol [31:0] $end $var reg 32 V" dmstatus [31:0] $end $var reg 32 W" hartinfo [31:0] $end $var reg 1 X" is_halted $end $var reg 1 Y" is_reseted $end $var reg 2 Z" op [1:0] $end $var reg 40 [" req_data [39:0] $end $var reg 32 \" sbaddress0 [31:0] $end $var reg 32 ]" sbcs [31:0] $end $var reg 32 ^" sbdata0 [31:0] $end $var reg 2 _" state [1:0] $end $upscope $end $scope module u_jtag_driver $end $var wire 6 `" addr_bits [5:0] $end $var wire 40 a" busy_response [39:0] $end $var wire 1 A" dm_is_busy $end $var wire 40 b" dm_resp_data [39:0] $end $var wire 32 c" idcode [31:0] $end $var wire 1 d" is_busy $end $var wire 1 , jtag_TCK $end $var wire 1 - jtag_TDI $end $var wire 1 . jtag_TMS $end $var wire 40 e" none_busy_response [39:0] $end $var wire 1 r rst_n $end $var wire 32 f" dtmcs [31:0] $end $var wire 1 g" dtm_reset $end $var wire 2 h" dmi_stat [1:0] $end $var reg 40 i" dtm_req_data [39:0] $end $var reg 1 6" dtm_req_valid $end $var reg 5 j" ir_reg [4:0] $end $var reg 1 o jtag_TDO $end $var reg 4 k" jtag_state [3:0] $end $var reg 40 l" shift_reg [39:0] $end $var reg 1 m" sticky_busy $end $upscope $end $upscope $end $scope module u_ram $end $var wire 1 ' clk $end $var wire 1 ( rst $end $var wire 1 M we_i $end $var wire 1 N req_i $end $var wire 32 n" data_i [31:0] $end $var wire 32 o" addr_i [31:0] $end $var reg 1 R ack_o $end $var reg 32 p" data_o [31:0] $end $upscope $end $scope module u_rib $end $var wire 1 ' clk $end $var wire 32 q" m1_data_i [31:0] $end $var wire 1 r" m1_req_i $end $var wire 1 s" m1_we_i $end $var wire 32 t" m2_addr_i [31:0] $end $var wire 32 u" m2_data_i [31:0] $end $var wire 1 [ m2_req_i $end $var wire 1 Z m2_we_i $end $var wire 1 ( rst $end $var wire 1 R s1_ack_i $end $var wire 32 v" s1_data_i [31:0] $end $var wire 1 L s2_ack_i $end $var wire 32 w" s2_data_i [31:0] $end $var wire 1 @ s4_ack_i $end $var wire 32 x" s4_data_i [31:0] $end $var wire 1 : s5_ack_i $end $var wire 32 y" s5_data_i [31:0] $end $var wire 32 z" s3_data_i [31:0] $end $var wire 1 F s3_ack_i $end $var wire 32 {" s0_data_i [31:0] $end $var wire 1 X s0_ack_i $end $var wire 3 |" req [2:0] $end $var wire 32 }" m1_addr_i [31:0] $end $var wire 1 c m0_we_i $end $var wire 1 d m0_req_i $end $var wire 32 ~" m0_data_i [31:0] $end $var wire 32 !# m0_addr_i [31:0] $end $var reg 2 "# grant [1:0] $end $var reg 1 Y hold_flag_o $end $var reg 1 h m0_ack_o $end $var reg 32 ## m0_data_o [31:0] $end $var reg 1 b m1_ack_o $end $var reg 32 $# m1_data_o [31:0] $end $var reg 1 _ m2_ack_o $end $var reg 32 %# m2_data_o [31:0] $end $var reg 2 &# next_grant [1:0] $end $var reg 32 '# s0_addr_o [31:0] $end $var reg 32 (# s0_data_o [31:0] $end $var reg 1 T s0_req_o $end $var reg 1 S s0_we_o $end $var reg 32 )# s1_addr_o [31:0] $end $var reg 32 *# s1_data_o [31:0] $end $var reg 1 N s1_req_o $end $var reg 1 M s1_we_o $end $var reg 32 +# s2_addr_o [31:0] $end $var reg 32 ,# s2_data_o [31:0] $end $var reg 1 H s2_req_o $end $var reg 1 G s2_we_o $end $var reg 32 -# s3_addr_o [31:0] $end $var reg 32 .# s3_data_o [31:0] $end $var reg 1 B s3_req_o $end $var reg 1 A s3_we_o $end $var reg 32 /# s4_addr_o [31:0] $end $var reg 32 0# s4_data_o [31:0] $end $var reg 1 < s4_req_o $end $var reg 1 ; s4_we_o $end $var reg 32 1# s5_addr_o [31:0] $end $var reg 32 2# s5_data_o [31:0] $end $var reg 1 6 s5_req_o $end $var reg 1 5 s5_we_o $end $upscope $end $scope module u_rom $end $var wire 32 3# addr_i [31:0] $end $var wire 1 ' clk $end $var wire 32 4# data_i [31:0] $end $var wire 1 T req_i $end $var wire 1 ( rst $end $var wire 1 S we_i $end $var reg 1 X ack_o $end $var reg 32 5# data_o [31:0] $end $upscope $end $scope module u_tinyriscv $end $var wire 1 ' clk $end $var wire 8 6# int_i [7:0] $end $var wire 1 n jtag_halt_flag_i $end $var wire 5 7# jtag_reg_addr_i [4:0] $end $var wire 32 8# jtag_reg_data_i [31:0] $end $var wire 1 j jtag_reg_we_i $end $var wire 1 i jtag_reset_flag_i $end $var wire 32 9# rib_ex_data_i [31:0] $end $var wire 32 :# rib_ex_data_o [31:0] $end $var wire 1 d rib_ex_req_o $end $var wire 1 c rib_ex_we_o $end $var wire 1 Y rib_hold_flag_i $end $var wire 32 ;# rib_pc_addr_o [31:0] $end $var wire 32 <# rib_pc_data_i [31:0] $end $var wire 1 ( rst $end $var wire 32 =# rib_ex_addr_o [31:0] $end $var wire 32 ># regs_rdata2_o [31:0] $end $var wire 32 ?# regs_rdata1_o [31:0] $end $var wire 32 @# pc_pc_o [31:0] $end $var wire 32 A# jtag_reg_data_o [31:0] $end $var wire 32 B# if_inst_o [31:0] $end $var wire 32 C# if_inst_addr_o [31:0] $end $var wire 1 D# ie_reg_we_o $end $var wire 5 E# ie_reg_waddr_o [4:0] $end $var wire 32 F# ie_reg2_rdata_o [31:0] $end $var wire 32 G# ie_reg1_rdata_o [31:0] $end $var wire 32 H# ie_inst_o [31:0] $end $var wire 32 I# ie_inst_addr_o [31:0] $end $var wire 1 J# ie_csr_we_o $end $var wire 32 K# ie_csr_waddr_o [31:0] $end $var wire 32 L# ie_csr_rdata_o [31:0] $end $var wire 1 M# id_reg_we_o $end $var wire 5 N# id_reg_waddr_o [4:0] $end $var wire 32 O# id_reg2_rdata_o [31:0] $end $var wire 5 P# id_reg2_raddr_o [4:0] $end $var wire 32 Q# id_reg1_rdata_o [31:0] $end $var wire 5 R# id_reg1_raddr_o [4:0] $end $var wire 1 S# id_mem_req_o $end $var wire 32 T# id_inst_o [31:0] $end $var wire 32 U# id_inst_addr_o [31:0] $end $var wire 1 V# id_csr_we_o $end $var wire 32 W# id_csr_waddr_o [31:0] $end $var wire 32 X# id_csr_rdata_o [31:0] $end $var wire 32 Y# id_csr_raddr_o [31:0] $end $var wire 1 Z# ex_reg_we_o $end $var wire 32 [# ex_reg_wdata_o [31:0] $end $var wire 5 \# ex_reg_waddr_o [4:0] $end $var wire 1 ]# ex_mem_we_o $end $var wire 32 ^# ex_mem_wdata_o [31:0] $end $var wire 32 _# ex_mem_waddr_o [31:0] $end $var wire 1 `# ex_mem_req_o $end $var wire 32 a# ex_mem_raddr_o [31:0] $end $var wire 1 b# ex_jump_flag_o $end $var wire 32 c# ex_jump_addr_o [31:0] $end $var wire 1 d# ex_hold_flag_o $end $var wire 1 e# ex_div_start_o $end $var wire 5 f# ex_div_reg_waddr_o [4:0] $end $var wire 3 g# ex_div_op_o [2:0] $end $var wire 32 h# ex_div_divisor_o [31:0] $end $var wire 32 i# ex_div_dividend_o [31:0] $end $var wire 1 j# ex_csr_we_o $end $var wire 32 k# ex_csr_wdata_o [31:0] $end $var wire 32 l# ex_csr_waddr_o [31:0] $end $var wire 64 m# div_result_o [63:0] $end $var wire 5 n# div_reg_waddr_o [4:0] $end $var wire 1 o# div_ready_o $end $var wire 3 p# div_op_o [2:0] $end $var wire 1 q# div_busy_o $end $var wire 1 r# ctrl_jump_flag_o $end $var wire 32 s# ctrl_jump_addr_o [31:0] $end $var wire 3 t# ctrl_hold_flag_o [2:0] $end $var wire 1 u# csr_global_int_en_o $end $var wire 32 v# csr_data_o [31:0] $end $var wire 32 w# csr_clint_data_o [31:0] $end $var wire 32 x# csr_clint_csr_mtvec [31:0] $end $var wire 32 y# csr_clint_csr_mstatus [31:0] $end $var wire 32 z# csr_clint_csr_mepc [31:0] $end $var wire 1 {# clint_we_o $end $var wire 32 |# clint_waddr_o [31:0] $end $var wire 32 }# clint_raddr_o [31:0] $end $var wire 1 ~# clint_int_assert_o $end $var wire 32 !$ clint_int_addr_o [31:0] $end $var wire 1 "$ clint_hold_flag_o $end $var wire 32 #$ clint_data_o [31:0] $end $scope module u_clint $end $var wire 1 ' clk $end $var wire 8 $$ int_flag_i [7:0] $end $var wire 1 ( rst $end $var wire 32 %$ inst_i [31:0] $end $var wire 32 &$ inst_addr_i [31:0] $end $var wire 1 "$ hold_flag_o $end $var wire 3 '$ hold_flag_i [2:0] $end $var wire 1 u# global_int_en_i $end $var wire 32 ($ data_i [31:0] $end $var wire 32 )$ csr_mtvec [31:0] $end $var wire 32 *$ csr_mstatus [31:0] $end $var wire 32 +$ csr_mepc [31:0] $end $var reg 32 ,$ cause [31:0] $end $var reg 5 -$ csr_state [4:0] $end $var reg 32 .$ data_o [31:0] $end $var reg 32 /$ inst_addr [31:0] $end $var reg 32 0$ int_addr_o [31:0] $end $var reg 1 ~# int_assert_o $end $var reg 4 1$ int_state [3:0] $end $var reg 32 2$ raddr_o [31:0] $end $var reg 32 3$ waddr_o [31:0] $end $var reg 1 {# we_o $end $upscope $end $scope module u_csr_reg $end $var wire 32 4$ clint_csr_mepc [31:0] $end $var wire 32 5$ clint_csr_mstatus [31:0] $end $var wire 32 6$ clint_csr_mtvec [31:0] $end $var wire 32 7$ clint_data_i [31:0] $end $var wire 32 8$ clint_raddr_i [31:0] $end $var wire 32 9$ clint_waddr_i [31:0] $end $var wire 1 {# clint_we_i $end $var wire 1 ' clk $end $var wire 1 ( rst $end $var wire 1 j# we_i $end $var wire 32 :$ waddr_i [31:0] $end $var wire 32 ;$ raddr_i [31:0] $end $var wire 1 u# global_int_en_o $end $var wire 32 <$ data_i [31:0] $end $var reg 32 =$ clint_data_o [31:0] $end $var reg 64 >$ cycle [63:0] $end $var reg 32 ?$ data_o [31:0] $end $var reg 32 @$ mcause [31:0] $end $var reg 32 A$ mepc [31:0] $end $var reg 32 B$ mie [31:0] $end $var reg 32 C$ mstatus [31:0] $end $var reg 32 D$ mtvec [31:0] $end $upscope $end $scope module u_ctrl $end $var wire 1 "$ hold_flag_clint_i $end $var wire 1 Y hold_flag_rib_i $end $var wire 1 n jtag_halt_flag_i $end $var wire 1 ( rst $end $var wire 1 b# jump_flag_i $end $var wire 32 E$ jump_addr_i [31:0] $end $var wire 1 d# hold_flag_ex_i $end $var reg 3 F$ hold_flag_o [2:0] $end $var reg 32 G$ jump_addr_o [31:0] $end $var reg 1 r# jump_flag_o $end $upscope $end $scope module u_div $end $var wire 1 ' clk $end $var wire 1 ( rst $end $var wire 1 e# start_i $end $var wire 5 H$ reg_waddr_i [4:0] $end $var wire 3 I$ op_i [2:0] $end $var wire 32 J$ divisor_i [31:0] $end $var wire 32 K$ dividend_i [31:0] $end $var wire 1 q# busy_o $end $var reg 7 L$ count [6:0] $end $var reg 32 M$ div_remain [31:0] $end $var reg 32 N$ div_result [31:0] $end $var reg 32 O$ dividend_temp [31:0] $end $var reg 32 P$ divisor_temp [31:0] $end $var reg 32 Q$ divisor_zero_result [31:0] $end $var reg 1 R$ invert_result $end $var reg 32 S$ minuend [31:0] $end $var reg 3 T$ op_o [2:0] $end $var reg 1 o# ready_o $end $var reg 5 U$ reg_waddr_o [4:0] $end $var reg 64 V$ result_o [63:0] $end $var reg 2 W$ state [1:0] $end $upscope $end $scope module u_ex $end $var wire 32 X$ csr_waddr_o [31:0] $end $var wire 1 q# div_busy_i $end $var wire 3 Y$ div_op_i [2:0] $end $var wire 1 o# div_ready_i $end $var wire 5 Z$ div_reg_waddr_i [4:0] $end $var wire 64 [$ div_result_i [63:0] $end $var wire 1 d# hold_flag_o $end $var wire 32 \$ int_addr_i [31:0] $end $var wire 1 ~# int_assert_i $end $var wire 1 b# jump_flag_o $end $var wire 32 ]$ mem_rdata_i [31:0] $end $var wire 5 ^$ reg_waddr_o [4:0] $end $var wire 32 _$ reg_wdata_o [31:0] $end $var wire 1 ( rst $end $var wire 5 `$ uimm [4:0] $end $var wire 32 a$ sign_extend_tmp [31:0] $end $var wire 5 b$ shift_bits [4:0] $end $var wire 1 Z# reg_we_o $end $var wire 1 D# reg_we_i $end $var wire 5 c$ reg_waddr_i [4:0] $end $var wire 32 d$ reg2_rdata_i [31:0] $end $var wire 32 e$ reg1_rdata_i [31:0] $end $var wire 5 f$ rd [4:0] $end $var wire 7 g$ opcode [6:0] $end $var wire 64 h$ mul_temp_invert [63:0] $end $var wire 64 i$ mul_temp [63:0] $end $var wire 1 ]# mem_we_o $end $var wire 2 j$ mem_waddr_index [1:0] $end $var wire 1 `# mem_req_o $end $var wire 2 k$ mem_raddr_index [1:0] $end $var wire 32 l$ jump_addr_o [31:0] $end $var wire 32 m$ inst_i [31:0] $end $var wire 32 n$ inst_addr_i [31:0] $end $var wire 7 o$ funct7 [6:0] $end $var wire 3 p$ funct3 [2:0] $end $var wire 1 e# div_start_o $end $var wire 1 j# csr_we_o $end $var wire 1 J# csr_we_i $end $var wire 32 q$ csr_waddr_i [31:0] $end $var wire 32 r$ csr_rdata_i [31:0] $end $var reg 32 s$ csr_wdata_o [31:0] $end $var reg 32 t$ div_dividend_o [31:0] $end $var reg 32 u$ div_divisor_o [31:0] $end $var reg 1 v$ div_hold_flag $end $var reg 32 w$ div_jump_addr [31:0] $end $var reg 1 x$ div_jump_flag $end $var reg 3 y$ div_op_o [2:0] $end $var reg 5 z$ div_reg_waddr_o [4:0] $end $var reg 1 {$ div_start $end $var reg 5 |$ div_waddr [4:0] $end $var reg 32 }$ div_wdata [31:0] $end $var reg 1 ~$ div_we $end $var reg 1 !% hold_flag $end $var reg 32 "% jump_addr [31:0] $end $var reg 1 #% jump_flag $end $var reg 32 $% mem_raddr_o [31:0] $end $var reg 1 %% mem_req $end $var reg 32 &% mem_waddr_o [31:0] $end $var reg 32 '% mem_wdata_o [31:0] $end $var reg 1 (% mem_we $end $var reg 32 )% mul_op1 [31:0] $end $var reg 32 *% mul_op2 [31:0] $end $var reg 5 +% reg_waddr [4:0] $end $var reg 32 ,% reg_wdata [31:0] $end $var reg 1 -% reg_we $end $upscope $end $scope module u_id $end $var wire 32 .% csr_rdata_i [31:0] $end $var wire 1 b# ex_jump_flag_i $end $var wire 1 S# mem_req_o $end $var wire 1 ( rst $end $var wire 5 /% rs2 [4:0] $end $var wire 5 0% rs1 [4:0] $end $var wire 32 1% reg2_rdata_i [31:0] $end $var wire 32 2% reg1_rdata_i [31:0] $end $var wire 5 3% rd [4:0] $end $var wire 7 4% opcode [6:0] $end $var wire 32 5% inst_i [31:0] $end $var wire 32 6% inst_addr_i [31:0] $end $var wire 7 7% funct7 [6:0] $end $var wire 3 8% funct3 [2:0] $end $var reg 32 9% csr_raddr_o [31:0] $end $var reg 32 :% csr_rdata_o [31:0] $end $var reg 32 ;% csr_waddr_o [31:0] $end $var reg 1 V# csr_we_o $end $var reg 32 <% inst_addr_o [31:0] $end $var reg 32 =% inst_o [31:0] $end $var reg 1 >% mem_req $end $var reg 5 ?% reg1_raddr_o [4:0] $end $var reg 32 @% reg1_rdata_o [31:0] $end $var reg 5 A% reg2_raddr_o [4:0] $end $var reg 32 B% reg2_rdata_o [31:0] $end $var reg 5 C% reg_waddr_o [4:0] $end $var reg 1 M# reg_we_o $end $upscope $end $scope module u_id_ex $end $var wire 1 ' clk $end $var wire 32 D% csr_rdata_i [31:0] $end $var wire 32 E% csr_waddr_i [31:0] $end $var wire 1 V# csr_we_i $end $var wire 3 F% hold_flag_i [2:0] $end $var wire 32 G% inst_addr_i [31:0] $end $var wire 32 H% inst_i [31:0] $end $var wire 32 I% reg1_rdata_i [31:0] $end $var wire 32 J% reg2_rdata_i [31:0] $end $var wire 5 K% reg_waddr_i [4:0] $end $var wire 1 M# reg_we_i $end $var wire 1 ( rst $end $var reg 32 L% csr_rdata_o [31:0] $end $var reg 32 M% csr_waddr_o [31:0] $end $var reg 1 J# csr_we_o $end $var reg 32 N% inst_addr_o [31:0] $end $var reg 32 O% inst_o [31:0] $end $var reg 32 P% reg1_rdata_o [31:0] $end $var reg 32 Q% reg2_rdata_o [31:0] $end $var reg 5 R% reg_waddr_o [4:0] $end $var reg 1 D# reg_we_o $end $upscope $end $scope module u_if_id $end $var wire 1 ' clk $end $var wire 3 S% hold_flag_i [2:0] $end $var wire 32 T% inst_i [31:0] $end $var wire 1 ( rst $end $var wire 32 U% inst_addr_i [31:0] $end $var reg 32 V% inst_addr_o [31:0] $end $var reg 32 W% inst_o [31:0] $end $upscope $end $scope module u_pc_reg $end $var wire 1 ' clk $end $var wire 3 X% hold_flag_i [2:0] $end $var wire 1 i jtag_reset_flag_i $end $var wire 32 Y% jump_addr_i [31:0] $end $var wire 1 r# jump_flag_i $end $var wire 1 ( rst $end $var reg 32 Z% pc_o [31:0] $end $upscope $end $scope module u_regs $end $var wire 1 ' clk $end $var wire 5 [% jtag_addr_i [4:0] $end $var wire 32 \% jtag_data_i [31:0] $end $var wire 1 j jtag_we_i $end $var wire 5 ]% raddr1_i [4:0] $end $var wire 5 ^% raddr2_i [4:0] $end $var wire 1 ( rst $end $var wire 5 _% waddr_i [4:0] $end $var wire 32 `% wdata_i [31:0] $end $var wire 1 Z# we_i $end $var reg 32 a% jtag_data_o [31:0] $end $var reg 32 b% rdata1_o [31:0] $end $var reg 32 c% rdata2_o [31:0] $end $upscope $end $upscope $end $scope module uart_tx_0 $end $var wire 32 d% addr_i [31:0] $end $var wire 1 ' clk $end $var wire 32 e% data_i [31:0] $end $var wire 1 B req_i $end $var wire 1 ( rst $end $var wire 1 0 tx_pin $end $var wire 1 A we_i $end $var reg 1 F ack_o $end $var reg 4 f% bit_cnt [3:0] $end $var reg 16 g% cycle_cnt [15:0] $end $var reg 32 h% data_o [31:0] $end $var reg 4 i% state [3:0] $end $var reg 8 j% tx_data [7:0] $end $var reg 1 k% tx_data_ready $end $var reg 1 l% tx_data_valid $end $var reg 1 m% tx_reg $end $var reg 32 n% uart_baud [31:0] $end $var reg 32 o% uart_ctrl [31:0] $end $var reg 32 p% uart_status [31:0] $end $upscope $end $upscope $end $upscope $end $enddefinitions $end #0 $dumpvars bx p% bx o% bx n% xm% xl% xk% bx j% bx i% b0 h% bx g% bx f% b0 e% b0 d% b0 c% b0 b% b0 a% b0 `% b0 _% b0 ^% b0 ]% bx \% bx [% bx Z% b0 Y% b0 X% bx W% bx V% bx U% b1 T% b0 S% bx R% bx Q% bx P% bx O% bx N% bx M% bx L% b0 K% b0 J% b0 I% b1 H% b0 G% b0 F% b0 E% b0 D% b0 C% b0 B% b0 A% b0 @% b0 ?% 0>% b1 =% b0 <% b0 ;% b0 :% b0 9% bx 8% bx 7% bx 6% bx 5% bx 4% bx 3% b0 2% b0 1% bx 0% bx /% b0 .% 0-% b0 ,% b0 +% b0 *% b0 )% 0(% b0 '% b0 &% 0%% b0 $% 0#% b0 "% 0!% 0~$ b0 }$ b0 |$ 0{$ b0 z$ b0 y$ 0x$ b0 w$ 0v$ b0 u$ b0 t$ b0 s$ bx r$ bx q$ bx p$ bx o$ bx n$ bx m$ bx l$ bx k$ bx j$ b0 i$ b0 h$ bx g$ bx f$ bx e$ bx d$ bx c$ bx b$ bx a$ bx `$ b0 _$ b0 ^$ b0 ]$ bx \$ bx [$ bx Z$ bx Y$ bx X$ bx W$ bx V$ bx U$ bx T$ bx S$ xR$ bx Q$ bx P$ bx O$ bx N$ bx M$ bx L$ b0 K$ b0 J$ b0 I$ b0 H$ b0 G$ b0 F$ bx E$ bx D$ bx C$ bx B$ bx A$ bx @$ b0 ?$ bx >$ b0 =$ b0 <$ b0 ;$ bx :$ bx 9$ bx 8$ bx 7$ bx 6$ bx 5$ bx 4$ bx 3$ bx 2$ b1 1$ bx 0$ bx /$ bx .$ bx -$ bx ,$ bx +$ bx *$ bx )$ b0 ($ b0 '$ b0 &$ b1 %$ b0x $$ bx #$ x"$ bx !$ x~# bx }# bx |# x{# bx z# bx y# bx x# b0 w# b0 v# xu# b0 t# b0 s# 0r# xq# bx p# xo# bx n# bx m# bx l# b0 k# xj# b0 i# b0 h# b0 g# b0 f# 0e# 0d# bx c# xb# b0 a# 0`# b0 _# b0 ^# 0]# b0 \# b0 [# 0Z# b0 Y# b0 X# b0 W# 0V# b0 U# b1 T# 0S# b0 R# b0 Q# b0 P# b0 O# b0 N# 0M# bx L# bx K# xJ# bx I# bx H# bx G# bx F# bx E# xD# bx C# bx B# b0 A# bx @# b0 ?# b0 ># b0 =# b1 <# bx ;# b0 :# b0 9# bx 8# bx 7# b0x 6# b0 5# b0 4# b0 3# b0 2# b0 1# b0 0# b0 /# b0 .# b0 -# b0 ,# b0 +# b0 *# b0 )# b0 (# b0 '# b1 &# b0 %# b1 $# b0 ## bx "# b0 !# b0 ~" bx }" bx10 |" b0 {" b0 z" b0 y" b0 x" b0 w" b0 v" bx u" bx t" 0s" 1r" b0 q" b0 p" b0 o" b0 n" xm" bx l" bx k" bx j" bx i" b0x h" xg" b1010x0001100001 f" bx e" xd" b11110001000000000101001101111 c" bx b" b11 a" b110 `" bx _" bx ^" bx ]" bx \" bx [" bx Z" xY" xX" bx W" bx V" bx U" bx T" bx S" bx R" bx Q" bx P" bx O" bx N" bx M" bx L" bx K" bx J" b0 I" b0 H" bx G" xF" xE" xD" xC" xB" xA" bx @" bx ?" x>" x=" bx <" bx ;" x:" x9" bx 8" bx 7" x6" b0 5" b0 4" bx 3" bx 2" bx 1" bx 0" bx /" bx ." bx -" b0 ," b0 +" b0 *" bx )" bx (" bx '" x&" bx %" bx $" x#" x"" b0 !" bx ~ bx } b0 | b0 { b0xxxxxxxx z bx y b0 x b0 w b0 v xu xt bx s xr b0x q xp xo xn bx m b0 l bx k xj xi 0h b0 g b0 f b0 e 0d 0c 0b bx a b1 ` 0_ bx ^ bx ] b0 \ x[ xZ 0Y xX b0 W b0 V b0 U 0T 0S xR b0 Q b0 P b0 O 0N 0M xL b0 K b0 J b0 I 0H 0G xF b0 E b0 D b0 C 0B 0A x@ b0 ? b0 > b0 = 0< 0; x: b0 9 b0 8 b0 7 06 05 x4 x3 x2 x1 x0 z/ z. z- z, x+ bx * bx ) 0( 0' bx & bx % bx $ bx # bx " bx ! $end #10000 b0 j$ b0 k$ 0u# 0b# 0"$ 12 b0 /% b0 0% b0 3% b0 7% b0 8% b1 4% 0j# b0 b$ b0 a$ b0 `$ b0 f$ b0 o$ b0 p$ b1 g$ 0q# b0 c# b0 E$ b0 l$ 0p b0 z b0 a b0 }" b0 ;# b0 @# b0 U% b0 Z% b0 >$ b0 y# b0 *$ b0 5$ b0 C$ b0 B$ b0 z# b0 +$ b0 4$ b0 A$ b0 @$ b0 x# b0 )$ b0 6$ b0 D$ b0 C# b0 6% b0 V% b1 B# b1 5% b1 W% b0 L# b0 r$ b0 L% b0 l# b0 :$ b0 X$ b0 K# b0 q$ b0 M% 0J# b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b0 E# b0 c$ b0 R% 0D# b0 I# b0 n$ b0 N% b1 H# b1 m$ b1 O% 0R$ b0 P$ b0 O$ b0 n# b0 U$ b0 Z$ b0 p# b0 T$ b0 Y$ b11111111111111111111111111111111 Q$ b0 M$ b0 N$ b0 m# b0 V$ b0 [$ 0o# b0 W$ b0 /$ b0 ,$ b1 -$ b0 #$ b0 .$ b0 7$ b0 |# b0 3$ b0 9$ 0{# b0 !$ b0 0$ b0 \$ 0~# 1X 1R b0 -" b0 q b0 6# b0 $$ 01 b0 /" b0 ." 0l% b110111000 n% b0 p% b0 o% 0k% b0 f% 00 0m% b0 g% b1 i% b0 y 0#" b0 ~ 0&" b0 %" b0 } 03 b0 $" 04 0"" b0 )" b0 (" b0 '" b1 "# 1u 1t b0 s 1r 1' #20000 0' #30000 1' #40000 bx l bx 4" bx H" bx A# bx a% bx P bx p" bx v" b100110000000000000001101111 ` b100110000000000000001101111 $# b100110000000000000001101111 <# b100110000000000000001101111 T% 1b 1T b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# 0' 1( #50000 b0 l b0 4" b0 H" b0 A# b0 a% b101000001100001 f" b0 h" 0d" b0 k" b0 7" b0 G" b0 i" 06" 0m" b0 V" b0 K" b0 ] b0 1" b0 u" b0 ?" b0 Q" b0 k b0 3" b0 8# b0 \% b0 ;" b0 S" b0 L" b0 ^" b0 N" b0 J" b0 U" b0 ]" b0 W" b0 O" b0 \" b0 M" b0 Z" b10 |" 0[ 0=" 0Y" 0X" b0 m b0 2" b0 7# b0 [% b0 <" b0 R" b0 ^ b0 0" b0 t" b0 @" b0 P" 09" 0B" 0A" b0 e" b0 8" b0 T" b0 b" 0:" 0>" b0 _" b110100001000000010111101110011 V b110100001000000010111101110011 {" b110100001000000010111101110011 5# 1M# b100 W b100 '# b100 3# 1T b110100001000000010111101110011 ` b110100001000000010111101110011 $# b110100001000000010111101110011 <# b110100001000000010111101110011 T% 1b b1100 /% b10 7% b1101111 4% b100110000000000000001101111 T# b100110000000000000001101111 %$ b100110000000000000001101111 =% b100110000000000000001101111 H% b100 a b100 }" b100 ;# b100 @# b100 U% b100 Z% b1 >$ b100110000000000000001101111 B# b100110000000000000001101111 5% b100110000000000000001101111 W% 10 1m% b110 } xu xt b1 s 0r 1' #60000 0' #70000 b11 t# b11 '$ b11 F$ b11 F% b11 S% b11 X% 1r# b1001100 s# b1001100 G$ b1001100 Y% b1001100 c# b1001100 E$ b1001100 l$ 1b# b100 [# b100 _$ b100 `% 1Z# b100 ,% b1001100 "% 1#% 1V# b11110 N# b11110 C% b11110 K% b1101000010 W# b1101000010 ;% b1101000010 E% b1101000010 Y# b1101000010 ;$ b1101000010 9% 1M# b100000000000111110010011 V b100000000000111110010011 {" b100000000000111110010011 5# 1+ b1100 b$ b1001100 a$ b10 o$ b1101111 g$ 1-% b10 /% b11110 3% b11010 7% b10 8% b1110011 4% b100 U# b100 &$ b100 <% b100 G% b110100001000000010111101110011 T# b110100001000000010111101110011 %$ b110100001000000010111101110011 =% b110100001000000010111101110011 H% 1T b1000 W b1000 '# b1000 3# b100000000000111110010011 ` b100000000000111110010011 $# b100000000000111110010011 <# b100000000000111110010011 T% 1b b10 s 1r 0i 0n 0Z 0j 0F" 0C" 0D" 0E" 1D# b100110000000000000001101111 H# b100110000000000000001101111 m$ b100110000000000000001101111 O% b100 C# b100 6% b100 V% b110100001000000010111101110011 B# b110100001000000010111101110011 5% b110100001000000010111101110011 W% b10 >$ b1000 a b1000 }" b1000 ;# b1000 @# b1000 U% b1000 Z% 1' #80000 0' #90000 0r# 0b# b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% b0 s# b0 G$ b0 Y% b0 c# b0 E$ b0 l$ 0Z# b110010011 V b110010011 {" b110010011 5# 0#% b0 [# b0 _$ b0 `% 1T b1001100 W b1001100 '# b1001100 3# b110010011 ` b110010011 $# b110010011 <# b110010011 T% 1b b0 /% b0 3% b0 7% b0 8% b1 4% b0 N# b0 C% b0 K% 0M# 0V# b0 W# b0 ;% b0 E% b0 Y# b0 ;$ b0 9% b1000 U# b1000 &$ b1000 <% b1000 G% b1 T# b1 %$ b1 =% b1 H% b0 b$ b0 a$ b0 o$ b1 g$ b0 ,% b0 "% 0-% b1001100 a b1001100 }" b1001100 ;# b1001100 @# b1001100 U% b1001100 Z% b11 >$ b1000 C# b1000 6% b1000 V% b1 B# b1 5% b1 W% 0D# b100 I# b100 n$ b100 N% b1 H# b1 m$ b1 O% b11 s 0r 1' #100000 0' #110000 b11 N# b11 C% b11 K% 1M# b1010010111 V b1010010111 {" b1010010111 5# b11 3% b10011 4% b1001100 U# b1001100 &$ b1001100 <% b1001100 G% b110010011 T# b110010011 %$ b110010011 =% b110010011 H% 1T b1010000 W b1010000 '# b1010000 3# b1010010111 ` b1010010111 $# b1010010111 <# b1010010111 T% 1b b100 s 1r b1000 I# b1000 n$ b1000 N% b1001100 C# b1001100 6% b1001100 V% b110010011 B# b110010011 5% b110010011 W% b100 >$ b1010000 a b1010000 }" b1010000 ;# b1010000 @# b1010000 U% b1010000 Z% 1' #120000 0' #130000 b11 j$ 1Z# b11111011010000101000001010010011 V b11111011010000101000001010010011 {" b11111011010000101000001010010011 5# b101 N# b101 C% b101 K% b11 \# b11 ^$ b11 _% 1T b1010100 W b1010100 '# b1010100 3# b11111011010000101000001010010011 ` b11111011010000101000001010010011 $# b11111011010000101000001010010011 <# b11111011010000101000001010010011 T% 1b b101 3% b10111 4% b1010000 U# b1010000 &$ b1010000 <% b1010000 G% b1010010111 T# b1010010111 %$ b1010010111 =% b1010010111 H% b11 f# b11 H$ b11 z$ b11 f$ b10011 g$ b11 +% 1-% b1010100 a b1010100 }" b1010100 ;# b1010100 @# b1010100 U% b1010100 Z% b101 >$ b1010000 C# b1010000 6% b1010000 V% b1010010111 B# b1010010111 5% b1010010111 W% b11 E# b11 c$ b11 R% 1D# b1001100 I# b1001100 n$ b1001100 N% b110010011 H# b110010011 m$ b110010011 O% b101 s 0r 1' #140000 0' #150000 b1010000 Q# b1010000 @% b1010000 I% b1010000 ?# b1010000 2% b1010000 b% b1 j$ b1010000 [# b1010000 _$ b1010000 `% b1010000 ,% b101 \# b101 ^$ b101 _% b101 R# b101 ?% b101 ]% b110000010100101001000001110011 V b110000010100101001000001110011 {" b110000010100101001000001110011 5# b101 f# b101 H$ b101 z$ b101 f$ b10111 g$ b101 +% b10100 /% b101 0% b1111101 7% b10011 4% b1010100 U# b1010100 &$ b1010100 <% b1010100 G% b11111011010000101000001010010011 T# b11111011010000101000001010010011 %$ b11111011010000101000001010010011 =% b11111011010000101000001010010011 H% 1T b1011000 W b1011000 '# b1011000 3# b110000010100101001000001110011 ` b110000010100101001000001110011 $# b110000010100101001000001110011 <# b110000010100101001000001110011 T% 1b 1r b101 E# b101 c$ b101 R% b1010000 I# b1010000 n$ b1010000 N% b1010010111 H# b1010010111 m$ b1010010111 O% b1010100 C# b1010100 6% b1010100 V% b11111011010000101000001010010011 B# b11111011010000101000001010010011 5% b11111011010000101000001010010011 W% b110 >$ b0 & b1011000 a b1011000 }" b1011000 ;# b1011000 @# b1011000 U% b1011000 Z% 1' #160000 0' #170000 b100 Q# b100 @% b100 I% b100 ?# b100 2% b100 b% b110000000000000101000001110011 V b110000000000000101000001110011 {" b110000000000000101000001110011 5# 1V# b1100000101 W# b1100000101 ;% b1100000101 E% b1100000101 Y# b1100000101 ;$ b1100000101 9% b101 R# b101 ?% b101 ]% b0 N# b0 C% b0 K% 1M# b100 [# b100 _$ b100 `% 1T b1011100 W b1011100 '# b1011100 3# b110000000000000101000001110011 ` b110000000000000101000001110011 $# b110000000000000101000001110011 <# b110000000000000101000001110011 T% 1b b101 /% b0 3% b11000 7% b1 8% b1110011 4% b1011000 U# b1011000 &$ b1011000 <% b1011000 G% b110000010100101001000001110011 T# b110000010100101001000001110011 %$ b110000010100101001000001110011 =% b110000010100101001000001110011 H% b1010000 )% b1010000 i# b1010000 K$ b1010000 t$ b10100 b$ b11111111111111111111111110110100 a$ b101 `$ b1111101 o$ b10011 g$ b100 ,% b1011100 a b1011100 }" b1011100 ;# b1011100 @# b1011100 U% b1011100 Z% b111 >$ b1011000 C# b1011000 6% b1011000 V% b110000010100101001000001110011 B# b110000010100101001000001110011 5% b110000010100101001000001110011 W% b1010000 G# b1010000 e$ b1010000 P% b1010100 I# b1010100 n$ b1010100 N% b11111011010000101000001010010011 H# b11111011010000101000001010010011 m$ b11111011010000101000001010010011 O% 1' #180000 0' #190000 b0 Q# b0 @% b0 I% b0 ?# b0 2% b0 b% b0 j$ b1 k$ b100 k# b100 <$ b100 s$ b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b1010010111 V b1010010111 {" b1010010111 5# 1j# b100 )% b0 f# b0 H$ b0 z$ b1 g# b1 I$ b1 y$ b100 i# b100 K$ b100 t$ b101 b$ b1100000101 a$ b0 f$ b11000 o$ b1 p$ b1110011 g$ b0 ,% b0 +% b0 /% b0 0% b101 8% b0 R# b0 ?% b0 ]% 1M# 1V# b1100000000 W# b1100000000 ;% b1100000000 E% b1100000000 Y# b1100000000 ;$ b1100000000 9% b1011100 U# b1011100 &$ b1011100 <% b1011100 G% b110000000000000101000001110011 T# b110000000000000101000001110011 %$ b110000000000000101000001110011 =% b110000000000000101000001110011 H% 1T b1100000 W b1100000 '# b1100000 3# b1010010111 ` b1010010111 $# b1010010111 <# b1010010111 T% 1b b1100000101 l# b1100000101 :$ b1100000101 X$ b1100000101 K# b1100000101 q$ b1100000101 M% 1J# b100 G# b100 e$ b100 P% b0 E# b0 c$ b0 R% b1011000 I# b1011000 n$ b1011000 N% b110000010100101001000001110011 H# b110000010100101001000001110011 m$ b110000010100101001000001110011 O% b1011100 C# b1011100 6% b1011100 V% b110000000000000101000001110011 B# b110000000000000101000001110011 5% b110000000000000101000001110011 W% b1000 >$ b1100000 a b1100000 }" b1100000 ;# b1100000 @# b1100000 U% b1100000 Z% 1' #200000 0' #210000 b10000000101000001010010011 V b10000000101000001010010011 {" b10000000101000001010010011 5# b101 N# b101 C% b101 K% b0 k$ 1T b1100100 W b1100100 '# b1100100 3# b10000000101000001010010011 ` b10000000101000001010010011 $# b10000000101000001010010011 <# b10000000101000001010010011 T% 1b b101 3% b0 7% b0 8% b10111 4% 1M# 0V# b0 W# b0 ;% b0 E% b0 Y# b0 ;$ b0 9% b1100000 U# b1100000 &$ b1100000 <% b1100000 G% b1010010111 T# b1010010111 %$ b1010010111 =% b1010010111 H% b0 )% b101 g# b101 I$ b101 y$ b0 i# b0 K$ b0 t$ b0 b$ b1100000000 a$ b0 `$ b101 p$ b0 k# b0 <$ b0 s$ b1100100 a b1100100 }" b1100100 ;# b1100100 @# b1100100 U% b1100100 Z% b1001 >$ b100 x# b100 )$ b100 6$ b100 D$ b1100000 C# b1100000 6% b1100000 V% b1010010111 B# b1010010111 5% b1010010111 W% b1100000000 l# b1100000000 :$ b1100000000 X$ b1100000000 K# b1100000000 q$ b1100000000 M% b0 G# b0 e$ b0 P% b1011100 I# b1011100 n$ b1011100 N% b110000000000000101000001110011 H# b110000000000000101000001110011 m$ b110000000000000101000001110011 O% 1' #220000 0' #230000 b1100000 Q# b1100000 @% b1100000 I% b1100000 ?# b1100000 2% b1100000 b% b1 j$ b1100000 [# b1100000 _$ b1100000 `% b1100000 ,% b101 \# b101 ^$ b101 _% b101 R# b101 ?% b101 ]% b110100000100101001000001110011 V b110100000100101001000001110011 {" b110100000100101001000001110011 5# 0j# b101 f# b101 H$ b101 z$ b0 g# b0 I$ b0 y$ b0 a$ b101 f$ b0 o$ b0 p$ b10111 g$ b101 +% b101 0% b1 7% b10011 4% b1100100 U# b1100100 &$ b1100100 <% b1100100 G% b10000000101000001010010011 T# b10000000101000001010010011 %$ b10000000101000001010010011 =% b10000000101000001010010011 H% 1T b1101000 W b1101000 '# b1101000 3# b110100000100101001000001110011 ` b110100000100101001000001110011 $# b110100000100101001000001110011 <# b110100000100101001000001110011 T% 1b b0 l# b0 :$ b0 X$ b0 K# b0 q$ b0 M% 0J# b101 E# b101 c$ b101 R% b1100000 I# b1100000 n$ b1100000 N% b1010010111 H# b1010010111 m$ b1010010111 O% b1100100 C# b1100100 6% b1100100 V% b10000000101000001010010011 B# b10000000101000001010010011 5% b10000000101000001010010011 W% b1010 >$ b1101000 a b1101000 }" b1101000 ;# b1101000 @# b1101000 U% b1101000 Z% 1' #240000 0' #250000 b10000000 Q# b10000000 @% b10000000 I% b10000000 ?# b10000000 2% b10000000 b% b1010010011 V b1010010011 {" b1010010011 5# 1V# b1101000001 W# b1101000001 ;% b1101000001 E% b1101000001 Y# b1101000001 ;$ b1101000001 9% b101 R# b101 ?% b101 ]% b0 N# b0 C% b0 K% 1M# b10000000 [# b10000000 _$ b10000000 `% 1T b1101100 W b1101100 '# b1101100 3# b1010010011 ` b1010010011 $# b1010010011 <# b1010010011 T% 1b b1 /% b0 3% b11010 7% b1 8% b1110011 4% b1101000 U# b1101000 &$ b1101000 <% b1101000 G% b110100000100101001000001110011 T# b110100000100101001000001110011 %$ b110100000100101001000001110011 =% b110100000100101001000001110011 H% b1100000 )% b1100000 i# b1100000 K$ b1100000 t$ b100000 a$ b101 `$ b1 o$ b10011 g$ b10000000 ,% b1101100 a b1101100 }" b1101100 ;# b1101100 @# b1101100 U% b1101100 Z% b1011 >$ b1101000 C# b1101000 6% b1101000 V% b110100000100101001000001110011 B# b110100000100101001000001110011 5% b110100000100101001000001110011 W% b1100000 G# b1100000 e$ b1100000 P% b1100100 I# b1100100 n$ b1100100 N% b10000000101000001010010011 H# b10000000101000001010010011 m$ b10000000101000001010010011 O% 1' #260000 0' #270000 b0 Q# b0 @% b0 I% b0 ?# b0 2% b0 b% b0 j$ b1 k$ b10000000 k# b10000000 <$ b10000000 s$ b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b101 N# b101 C% b101 K% b10000000000000000001100110111 V b10000000000000000001100110111 {" b10000000000000000001100110111 5# 1j# b10000000 )% b0 f# b0 H$ b0 z$ b1 g# b1 I$ b1 y$ b10000000 i# b10000000 K$ b10000000 t$ b1 b$ b1101000001 a$ b0 f$ b11010 o$ b1 p$ b1110011 g$ b0 ,% b0 +% b0 /% b0 0% b101 3% b0 7% b0 8% b10011 4% b0 R# b0 ?% b0 ]% 1M# 0V# b0 W# b0 ;% b0 E% b0 Y# b0 ;$ b0 9% b1101100 U# b1101100 &$ b1101100 <% b1101100 G% b1010010011 T# b1010010011 %$ b1010010011 =% b1010010011 H% 1T b1110000 W b1110000 '# b1110000 3# b10000000000000000001100110111 ` b10000000000000000001100110111 $# b10000000000000000001100110111 <# b10000000000000000001100110111 T% 1b b1101000001 l# b1101000001 :$ b1101000001 X$ b1101000001 K# b1101000001 q$ b1101000001 M% 1J# b10000000 G# b10000000 e$ b10000000 P% b0 E# b0 c$ b0 R% b1101000 I# b1101000 n$ b1101000 N% b110100000100101001000001110011 H# b110100000100101001000001110011 m$ b110100000100101001000001110011 O% b1101100 C# b1101100 6% b1101100 V% b1010010011 B# b1010010011 5% b1010010011 W% b1100 >$ b1110000 a b1110000 }" b1110000 ;# b1110000 @# b1110000 U% b1110000 Z% 1' #280000 0' #290000 b1000000110000001100010011 V b1000000110000001100010011 {" b1000000110000001100010011 5# b110 N# b110 C% b110 K% b1 j$ b0 k$ b101 \# b101 ^$ b101 _% 1T b1110100 W b1110100 '# b1110100 3# b1000000110000001100010011 ` b1000000110000001100010011 $# b1000000110000001100010011 <# b1000000110000001100010011 T% 1b b110 3% b1000 7% b110111 4% b1110000 U# b1110000 &$ b1110000 <% b1110000 G% b10000000000000000001100110111 T# b10000000000000000001100110111 %$ b10000000000000000001100110111 =% b10000000000000000001100110111 H% 0j# b0 )% b101 f# b101 H$ b101 z$ b0 g# b0 I$ b0 y$ b0 i# b0 K$ b0 t$ b0 b$ b0 a$ b0 `$ b101 f$ b0 o$ b0 p$ b10011 g$ b0 k# b0 <$ b0 s$ b101 +% b1110100 a b1110100 }" b1110100 ;# b1110100 @# b1110100 U% b1110100 Z% b1101 >$ b10000000 z# b10000000 +$ b10000000 4$ b10000000 A$ b1110000 C# b1110000 6% b1110000 V% b10000000000000000001100110111 B# b10000000000000000001100110111 5% b10000000000000000001100110111 W% b0 l# b0 :$ b0 X$ b0 K# b0 q$ b0 M% 0J# b0 G# b0 e$ b0 P% b101 E# b101 c$ b101 R% b1101100 I# b1101100 n$ b1101100 N% b1010010011 H# b1010010011 m$ b1010010011 O% 1' #300000 0' #310000 b10000000000000000000000000000 Q# b10000000000000000000000000000 @% b10000000000000000000000000000 I% b10000000000000000000000000000 ?# b10000000000000000000000000000 2% b10000000000000000000000000000 b% b10 j$ b10000000000000000000000000000 [# b10000000000000000000000000000 _$ b10000000000000000000000000000 `% b110 \# b110 ^$ b110 _% b110 R# b110 ?% b110 ]% b10100110010000000100011 V b10100110010000000100011 {" b10100110010000000100011 5# b110 f# b110 H$ b110 z$ b100000000 a$ b110 f$ b1000 o$ b110111 g$ b10000000000000000000000000000 ,% b110 +% b10000 /% b110 0% b0 7% b10011 4% b1110100 U# b1110100 &$ b1110100 <% b1110100 G% b1000000110000001100010011 T# b1000000110000001100010011 %$ b1000000110000001100010011 =% b1000000110000001100010011 H% 1T b1111000 W b1111000 '# b1111000 3# b10100110010000000100011 ` b10100110010000000100011 $# b10100110010000000100011 <# b10100110010000000100011 T% 1b b110 E# b110 c$ b110 R% b1110000 I# b1110000 n$ b1110000 N% b10000000000000000001100110111 H# b10000000000000000001100110111 m$ b10000000000000000001100110111 O% b1110100 C# b1110100 6% b1110100 V% b1000000110000001100010011 B# b1000000110000001100010011 5% b1000000110000001100010011 W% b1110 >$ b1111000 a b1111000 }" b1111000 ;# b1111000 @# b1111000 U% b1111000 Z% 1' #320000 0' #330000 b10000000000000000000000010000 Q# b10000000000000000000000010000 @% b10000000000000000000000010000 I% b10000000000000000000000010000 ?# b10000000000000000000000010000 2% b10000000000000000000000010000 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b110000001000000000000001110011 V b110000001000000000000001110011 {" b110000001000000000000001110011 5# 1>% b0 N# b0 C% b0 K% 0M# b101 P# b101 A% b101 ^% b10000000000000000000000010000 [# b10000000000000000000000010000 _$ b10000000000000000000000010000 `% 1T b1111100 W b1111100 '# b1111100 3# b110000001000000000000001110011 ` b110000001000000000000001110011 $# b110000001000000000000001110011 <# b110000001000000000000001110011 T% 1b b101 /% b0 3% b10 8% b100011 4% b1111000 U# b1111000 &$ b1111000 <% b1111000 G% b10100110010000000100011 T# b10100110010000000100011 %$ b10100110010000000100011 =% b10100110010000000100011 H% b10000000000000000000000000000 )% b10000000000000000000000000000 i# b10000000000000000000000000000 K$ b10000000000000000000000000000 t$ b10000 b$ b10000 a$ b110 `$ b0 o$ b10011 g$ b10000000000000000000000010000 ,% b1111100 a b1111100 }" b1111100 ;# b1111100 @# b1111100 U% b1111100 Z% b1111 >$ b1111000 C# b1111000 6% b1111000 V% b10100110010000000100011 B# b10100110010000000100011 5% b10100110010000000100011 W% b10000000000000000000000000000 G# b10000000000000000000000000000 e$ b10000000000000000000000000000 P% b1110100 I# b1110100 n$ b1110100 N% b1000000110000001100010011 H# b1000000110000001100010011 m$ b1000000110000001100010011 O% 1' #340000 0' #350000 b0 Q# b0 @% b0 I% b0 ?# b0 2% b0 b% b11 t# b11 '$ b11 F$ b11 F% b11 S% b11 X% 0S# 1"$ b10000 Q b10000 o" b10000 )# 1M 1N b10000000000000000000000010000 g b10000000000000000000000010000 !# b10000000000000000000000010000 =# 1`# 1c 1]# 0Z# b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b0 j$ b1 k$ b10000000000000000000000010000 a# b10000000000000000000000010000 $% b10000000000000000000000010000 _# b10000000000000000000000010000 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b1100000010 W# b1100000010 ;% b1100000010 E% b1100000010 Y# b1100000010 ;$ b1100000010 9% b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b1000 1$ bx e bx ## bx 9# bx ]$ 1h 0T b0 W b0 '# b0 3# b1 ` b1 $# b1 <# b1 T% 0b b10000000000000000000000010000 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b10000000000000000000000010000 i# b10000000000000000000000010000 K$ b10000000000000000000000010000 t$ b101 b$ b101 a$ b0 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b10 /% b0 0% b11000 7% b0 8% b1110011 4% 0>% b1111100 U# b1111100 &$ b1111100 <% b1111100 G% b110000001000000000000001110011 T# b110000001000000000000001110011 %$ b110000001000000000000001110011 =% b110000001000000000000001110011 H% b0 "# b10000000000000000000000010000 G# b10000000000000000000000010000 e$ b10000000000000000000000010000 P% b0 E# b0 c$ b0 R% 0D# b1111000 I# b1111000 n$ b1111000 N% b10100110010000000100011 H# b10100110010000000100011 m$ b10100110010000000100011 O% b1111100 C# b1111100 6% b1111100 V% b110000001000000000000001110011 B# b110000001000000000000001110011 5% b110000001000000000000001110011 W% b10000 >$ 1' #360000 0' #370000 b11 t# b11 '$ b11 F$ b11 F% b11 S% b11 X% 0Y b1 &# b10 |" 0d 0c 0]# 0`# 0S 0T b1 1$ b0 k$ 0(% b0 g b0 !# b0 =# 0M 0N b0 Q b0 o" b0 )# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 /% b0 7% b1 4% b0 W# b0 ;% b0 E% b0 Y# b0 ;$ b0 9% b1 T# b1 %$ b1 =% b1 H% b0 )% b0 g# b0 I$ b0 y$ b0 i# b0 K$ b0 t$ b0 b$ b0 a$ b0 `$ b0 p$ b1 g$ b0 a# b0 $% b0 _# b0 &% 0%% bx P bx p" bx v" b10001 >$ b1 B# b1 5% b1 W% b0 G# b0 e$ b0 P% b1111100 I# b1111100 n$ b1111100 N% b1 H# b1 m$ b1 O% b1000 -$ b0 # 1' #380000 0' #390000 1r# 1b# b11 t# b11 '$ b11 F$ b11 F% b11 S% b11 X% b10000000 s# b10000000 G$ b10000000 Y% b110000001000000000000001110011 V b110000001000000000000001110011 {" b110000001000000000000001110011 5# b10000000 c# b10000000 E$ b10000000 l$ 0"$ b110000001000000000000001110011 ` b110000001000000000000001110011 $# b110000001000000000000001110011 <# b110000001000000000000001110011 T% 1b b1111100 W b1111100 '# b1111100 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1 "# b10000000 !$ b10000000 0$ b10000000 \$ 1~# b1100000000 |# b1100000000 3$ b1100000000 9$ 1{# b1 -$ b10010 >$ 1' #400000 0' #410000 0r# 0b# b10001010010111 V b10001010010111 {" b10001010010111 5# b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% b0 s# b0 G$ b0 Y% 1T b10000000 W b10000000 '# b10000000 3# b10001010010111 ` b10001010010111 $# b10001010010111 <# b10001010010111 T% 1b b0 c# b0 E$ b0 l$ b10000000 a b10000000 }" b10000000 ;# b10000000 @# b10000000 U% b10000000 Z% b10011 >$ b0 |# b0 3$ b0 9$ 0{# b0 !$ b0 0$ b0 \$ 0~# 1' #420000 0' #430000 b101 N# b101 C% b101 K% 1M# b11111000000000101000001010010011 V b11111000000000101000001010010011 {" b11111000000000101000001010010011 5# b101 3% b10 8% b10111 4% b10000000 U# b10000000 &$ b10000000 <% b10000000 G% b10001010010111 T# b10001010010111 %$ b10001010010111 =% b10001010010111 H% 1T b10000100 W b10000100 '# b10000100 3# b11111000000000101000001010010011 ` b11111000000000101000001010010011 $# b11111000000000101000001010010011 <# b11111000000000101000001010010011 T% 1b b10000000 C# b10000000 6% b10000000 V% b10001010010111 B# b10001010010111 5% b10001010010111 W% b10100 >$ b10000100 a b10000100 }" b10000100 ;# b10000100 @# b10000100 U% b10000100 Z% 1' #440000 0' #450000 b10000010000000 Q# b10000010000000 @% b10000010000000 I% b10000010000000 ?# b10000010000000 2% b10000010000000 b% b1 j$ b10000010000000 [# b10000010000000 _$ b10000010000000 `% 1Z# b11111111111100000000111110010011 V b11111111111100000000111110010011 {" b11111111111100000000111110010011 5# b101 R# b101 ?% b101 ]% b10000010000000 ,% b101 \# b101 ^$ b101 _% 1T b10001000 W b10001000 '# b10001000 3# b11111111111100000000111110010011 ` b11111111111100000000111110010011 $# b11111111111100000000111110010011 <# b11111111111100000000111110010011 T% 1b b101 0% b1111100 7% b0 8% b10011 4% b10000100 U# b10000100 &$ b10000100 <% b10000100 G% b11111000000000101000001010010011 T# b11111000000000101000001010010011 %$ b11111000000000101000001010010011 =% b11111000000000101000001010010011 H% b101 f# b101 H$ b101 z$ b10 g# b10 I$ b10 y$ b101 f$ b10 p$ b10111 g$ b101 +% 1-% b10001000 a b10001000 }" b10001000 ;# b10001000 @# b10001000 U% b10001000 Z% b10101 >$ b10000100 C# b10000100 6% b10000100 V% b11111000000000101000001010010011 B# b11111000000000101000001010010011 5% b11111000000000101000001010010011 W% b101 E# b101 c$ b101 R% 1D# b10000000 I# b10000000 n$ b10000000 N% b10001010010111 H# b10001010010111 m$ b10001010010111 O% 1' #460000 0' #470000 b0 Q# b0 @% b0 I% b0 ?# b0 2% b0 b% b10000000000000 [# b10000000000000 _$ b10000000000000 `% b0 R# b0 ?% b0 ]% b11111 N# b11111 C% b11111 K% b100000010011 V b100000010011 {" b100000010011 5# b10000010000000 )% b0 g# b0 I$ b0 y$ b10000010000000 i# b10000010000000 K$ b10000010000000 t$ b11111111111111111111111110000000 a$ b101 `$ b1111100 o$ b0 p$ b10011 g$ b10000000000000 ,% b11111 /% b0 0% b11111 3% b1111111 7% b10001000 U# b10001000 &$ b10001000 <% b10001000 G% b11111111111100000000111110010011 T# b11111111111100000000111110010011 %$ b11111111111100000000111110010011 =% b11111111111100000000111110010011 H% 1T b10001100 W b10001100 '# b10001100 3# b100000010011 ` b100000010011 $# b100000010011 <# b100000010011 T% 1b b10000010000000 G# b10000010000000 e$ b10000010000000 P% b10000100 I# b10000100 n$ b10000100 N% b11111000000000101000001010010011 H# b11111000000000101000001010010011 m$ b11111000000000101000001010010011 O% b10001000 C# b10001000 6% b10001000 V% b11111111111100000000111110010011 B# b11111111111100000000111110010011 5% b11111111111100000000111110010011 W% b10110 >$ b10001100 a b10001100 }" b10001100 ;# b10001100 @# b10001100 U% b10001100 Z% 1' #480000 0' #490000 b1000011111000000000110011 V b1000011111000000000110011 {" b1000011111000000000110011 5# b10000 N# b10000 C% b10000 K% b11 j$ b11 k$ b11111111111111111111111111111111 [# b11111111111111111111111111111111 _$ b11111111111111111111111111111111 `% b11111 \# b11111 ^$ b11111 _% 1T b10010000 W b10010000 '# b10010000 3# b1000011111000000000110011 ` b1000011111000000000110011 $# b1000011111000000000110011 <# b1000011111000000000110011 T% 1b b0 /% b10000 3% b0 7% b10001100 U# b10001100 &$ b10001100 <% b10001100 G% b100000010011 T# b100000010011 %$ b100000010011 =% b100000010011 H% b0 )% b11111 f# b11111 H$ b11111 z$ b0 i# b0 K$ b0 t$ b11111 b$ b11111111111111111111111111111111 a$ b0 `$ b11111 f$ b1111111 o$ b11111111111111111111111111111111 ,% b11111 +% b10010000 a b10010000 }" b10010000 ;# b10010000 @# b10010000 U% b10010000 Z% b10111 >$ b10001100 C# b10001100 6% b10001100 V% b100000010011 B# b100000010011 5% b100000010011 W% b0 G# b0 e$ b0 P% b11111 E# b11111 c$ b11111 R% b10001000 I# b10001000 n$ b10001000 N% b11111111111100000000111110010011 H# b11111111111100000000111110010011 m$ b11111111111100000000111110010011 O% 1' #500000 0' #510000 b11111111111111111111111111111111 Q# b11111111111111111111111111111111 @% b11111111111111111111111111111111 I% b11111111111111111111111111111111 ?# b11111111111111111111111111111111 2% b11111111111111111111111111111111 b% b0 j$ b0 k$ b0 [# b0 _$ b0 `% b10000 \# b10000 ^$ b10000 _% b10000 P# b10000 A% b10000 ^% b11111 R# b11111 ?% b11111 ]% b0 N# b0 C% b0 K% b101010000000100011 V b101010000000100011 {" b101010000000100011 5# b10000 f# b10000 H$ b10000 z$ b0 b$ b0 a$ b10000 f$ b0 o$ b0 ,% b10000 +% b10000 /% b11111 0% b0 3% b110011 4% b10010000 U# b10010000 &$ b10010000 <% b10010000 G% b1000011111000000000110011 T# b1000011111000000000110011 %$ b1000011111000000000110011 =% b1000011111000000000110011 H% 1T b10010100 W b10010100 '# b10010100 3# b101010000000100011 ` b101010000000100011 $# b101010000000100011 <# b101010000000100011 T% 1b b10000 E# b10000 c$ b10000 R% b10001100 I# b10001100 n$ b10001100 N% b100000010011 H# b100000010011 m$ b100000010011 O% b10010000 C# b10010000 6% b10010000 V% b1000011111000000000110011 B# b1000011111000000000110011 5% b1000011111000000000110011 W% b11000 >$ b10010100 a b10010100 }" b10010100 ;# b10010100 @# b10010100 U% b10010100 Z% 1' #520000 0' #530000 b10000000000000 Q# b10000000000000 @% b10000000000000 I% b10000000000000 ?# b10000000000000 2% b10000000000000 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b100000000111100010011 V b100000000111100010011 {" b100000000111100010011 5# 1>% 0M# b0 P# b0 A% b0 ^% b101 R# b101 ?% b101 ]% b11 j$ b11 k$ b11111111111111111111111111111111 [# b11111111111111111111111111111111 _$ b11111111111111111111111111111111 `% b0 \# b0 ^$ b0 _% 1T b10011000 W b10011000 '# b10011000 3# b100000000111100010011 ` b100000000111100010011 $# b100000000111100010011 <# b100000000111100010011 T% 1b b0 /% b101 0% b10 8% b100011 4% b10010100 U# b10010100 &$ b10010100 <% b10010100 G% b101010000000100011 T# b101010000000100011 %$ b101010000000100011 =% b101010000000100011 H% b11111111111111111111111111111111 )% b0 f# b0 H$ b0 z$ b11111111111111111111111111111111 i# b11111111111111111111111111111111 K$ b11111111111111111111111111111111 t$ b10000 b$ b10000 a$ b11111 `$ b0 f$ b110011 g$ b11111111111111111111111111111111 ,% b0 +% b10011000 a b10011000 }" b10011000 ;# b10011000 @# b10011000 U% b10011000 Z% b11001 >$ b10010100 C# b10010100 6% b10010100 V% b101010000000100011 B# b101010000000100011 5% b101010000000100011 W% b11111111111111111111111111111111 G# b11111111111111111111111111111111 e$ b11111111111111111111111111111111 P% b0 E# b0 c$ b0 R% b10010000 I# b10010000 n$ b10010000 N% b1000011111000000000110011 H# b1000011111000000000110011 m$ b1000011111000000000110011 O% 1' #540000 0' #550000 b0 Q# b0 @% b0 I% b0 ?# b0 2% b0 b% 0S# 1S b10000000000000 g b10000000000000 !# b10000000000000 =# 1`# 1c 1]# 0Z# b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b0 j$ b0 k$ b10000000000000 a# b10000000000000 $% b10000000000000 _# b10000000000000 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 R# b0 ?% b0 ]% b11110 N# b11110 C% b11110 K% 1M# b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000000000000 W b10000000000000 '# b10000000000000 3# b1 ` b1 $# b1 <# b1 T% 0b b10000000000000 )% b10 g# b10 I$ b10 y$ b10000000000000 i# b10000000000000 K$ b10000000000000 t$ b0 b$ b0 a$ b101 `$ b10 p$ b100011 g$ b0 ,% 0-% b1 /% b0 0% b11110 3% b0 8% b10011 4% 0>% b10011000 U# b10011000 &$ b10011000 <% b10011000 G% b100000000111100010011 T# b100000000111100010011 %$ b100000000111100010011 =% b100000000111100010011 H% b0 "# b10000000000000 G# b10000000000000 e$ b10000000000000 P% 0D# b10010100 I# b10010100 n$ b10010100 N% b101010000000100011 H# b101010000000100011 m$ b101010000000100011 O% b10011000 C# b10011000 6% b10011000 V% b100000000111100010011 B# b100000000111100010011 5% b100000000111100010011 W% b11010 >$ 1' #560000 0' #570000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b1 [# b1 _$ b1 `% 0c 0]# 0`# 1Z# b0 N# b0 C% b0 K% 0M# b10 j$ b1 k$ b1 ,% 0(% b0 g b0 !# b0 =# b11110 \# b11110 ^$ b11110 _% 0S 0T b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 /% b0 3% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 )% b11110 f# b11110 H$ b11110 z$ b0 g# b0 I$ b0 y$ b0 i# b0 K$ b0 t$ b1 b$ b1 a$ b0 `$ b11110 f$ b0 p$ b10011 g$ b0 a# b0 $% b0 _# b0 &% 0%% b11110 +% 1-% b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b11011 >$ b1 B# b1 5% b1 W% b0 G# b0 e$ b0 P% b11110 E# b11110 c$ b11110 R% 1D# b10011000 I# b10011000 n$ b10011000 N% b100000000111100010011 H# b100000000111100010011 m$ b100000000111100010011 O% 1' #580000 0' #590000 b0 j$ b0 k$ 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b10000000000100000000011110010011 V b10000000000100000000011110010011 {" b10000000000100000000011110010011 5# b0 f# b0 H$ b0 z$ b0 b$ b0 a$ b0 f$ b1 g$ b0 ,% b0 +% 0-% b10000000000100000000011110010011 ` b10000000000100000000011110010011 $# b10000000000100000000011110010011 <# b10000000000100000000011110010011 T% 1b b10011100 W b10011100 '# b10011100 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1 "# b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b11100 >$ b10011100 a b10011100 }" b10011100 ;# b10011100 @# b10011100 U% b10011100 Z% 1' #600000 0' #610000 b111111110000000010110011 V b111111110000000010110011 {" b111111110000000010110011 5# b1111 N# b1111 C% b1111 K% 1M# 1T b10100000 W b10100000 '# b10100000 3# b111111110000000010110011 ` b111111110000000010110011 $# b111111110000000010110011 <# b111111110000000010110011 T% 1b b1 /% b1111 3% b1000000 7% b10011 4% b10011100 U# b10011100 &$ b10011100 <% b10011100 G% b10000000000100000000011110010011 T# b10000000000100000000011110010011 %$ b10000000000100000000011110010011 =% b10000000000100000000011110010011 H% b10100000 a b10100000 }" b10100000 ;# b10100000 @# b10100000 U% b10100000 Z% b11101 >$ b10011100 C# b10011100 6% b10011100 V% b10000000000100000000011110010011 B# b10000000000100000000011110010011 5% b10000000000100000000011110010011 W% 1' #620000 0' #630000 b11111111111111111111100000000001 O# b11111111111111111111100000000001 B% b11111111111111111111100000000001 J% b1 Q# b1 @% b1 I% b11111111111111111111100000000001 ># b11111111111111111111100000000001 1% b11111111111111111111100000000001 c% b1 ?# b1 2% b1 b% b11 j$ b1 k$ b11111111111111111111100000000001 [# b11111111111111111111100000000001 _$ b11111111111111111111100000000001 `% 1Z# b11111111111111111111100000000001 ,% b1111 \# b1111 ^$ b1111 _% b1111 P# b1111 A% b1111 ^% b11110 R# b11110 ?% b11110 ]% b1 N# b1 C% b1 K% b100101010001000100011 V b100101010001000100011 {" b100101010001000100011 5# b1111 f# b1111 H$ b1111 z$ b1 b$ b11111111111111111111100000000001 a$ b1111 f$ b1000000 o$ b10011 g$ b1111 +% 1-% b1111 /% b11110 0% b1 3% b0 7% b110011 4% b10100000 U# b10100000 &$ b10100000 <% b10100000 G% b111111110000000010110011 T# b111111110000000010110011 %$ b111111110000000010110011 =% b111111110000000010110011 H% 1T b10100100 W b10100100 '# b10100100 3# b100101010001000100011 ` b100101010001000100011 $# b100101010001000100011 <# b100101010001000100011 T% 1b b1111 E# b1111 c$ b1111 R% 1D# b10011100 I# b10011100 n$ b10011100 N% b10000000000100000000011110010011 H# b10000000000100000000011110010011 m$ b10000000000100000000011110010011 O% b10100000 C# b10100000 6% b10100000 V% b111111110000000010110011 B# b111111110000000010110011 5% b111111110000000010110011 W% b11110 >$ b10100100 a b10100100 }" b10100100 ;# b10100100 @# b10100100 U% b10100100 Z% 1' #640000 0' #650000 b11111111111111111111100000000010 O# b11111111111111111111100000000010 B% b11111111111111111111100000000010 J% b10000000000000 Q# b10000000000000 @% b10000000000000 I% b11111111111111111111100000000010 ># b11111111111111111111100000000010 1% b11111111111111111111100000000010 c% b10000000000000 ?# b10000000000000 2% b10000000000000 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b111010010011 V b111010010011 {" b111010010011 5# 1>% b0 N# b0 C% b0 K% 0M# b1 P# b1 A% b1 ^% b101 R# b101 ?% b101 ]% b10 j$ b0 k$ b1111111111111111111111111111111100000000000000000000011111111111 h$ b11111111111111111111100000000010 [# b11111111111111111111100000000010 _$ b11111111111111111111100000000010 `% b1 \# b1 ^$ b1 _% 1T b10101000 W b10101000 '# b10101000 3# b111010010011 ` b111010010011 $# b111010010011 <# b111010010011 T% 1b b1 /% b101 0% b100 3% b10 8% b100011 4% b10100100 U# b10100100 &$ b10100100 <% b10100100 G% b100101010001000100011 T# b100101010001000100011 %$ b100101010001000100011 =% b100101010001000100011 H% b11111111111111111111100000000001 i$ b11111111111111111111100000000001 *% b1 )% b1 f# b1 H$ b1 z$ b11111111111111111111100000000001 h# b11111111111111111111100000000001 J$ b11111111111111111111100000000001 u$ b1 i# b1 K$ b1 t$ b1111 b$ b1111 a$ b11110 `$ b1 f$ b0 o$ b110011 g$ b11111111111111111111100000000010 ,% b1 +% b10101000 a b10101000 }" b10101000 ;# b10101000 @# b10101000 U% b10101000 Z% b11111 >$ b10100100 C# b10100100 6% b10100100 V% b100101010001000100011 B# b100101010001000100011 5% b100101010001000100011 W% b11111111111111111111100000000001 F# b11111111111111111111100000000001 d$ b11111111111111111111100000000001 Q% b1 G# b1 e$ b1 P% b1 E# b1 c$ b1 R% b10100000 I# b10100000 n$ b10100000 N% b111111110000000010110011 H# b111111110000000010110011 m$ b111111110000000010110011 O% 1' #660000 0' #670000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# b11111111111111111111100000000010 U b11111111111111111111100000000010 (# b11111111111111111111100000000010 4# 1S b10000000000100 g b10000000000100 !# b10000000000100 =# 1`# 1c 1]# 0Z# b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b0 j$ b1 k$ b1111111111111111111000000000000000000000111111111100000000000000 h$ b11111111111111111111100000000010 f b11111111111111111111100000000010 ~" b11111111111111111111100000000010 :# b11111111111111111111100000000010 ^# b11111111111111111111100000000010 '% b10000000000100 a# b10000000000100 $% b10000000000100 _# b10000000000100 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b11101 N# b11101 C% b11101 K% 1M# b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000000000100 W b10000000000100 '# b10000000000100 3# b1 ` b1 $# b1 <# b1 T% 0b b11111111111111111111100000000010 *% b111111111111111111111000000000100000000000000 i$ b10000000000000 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b11111111111111111111100000000010 h# b11111111111111111111100000000010 J$ b11111111111111111111100000000010 u$ b10000000000000 i# b10000000000000 K$ b10000000000000 t$ b1 b$ b1 a$ b101 `$ b100 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b0 /% b0 0% b11101 3% b0 8% b10011 4% 0>% b10101000 U# b10101000 &$ b10101000 <% b10101000 G% b111010010011 T# b111010010011 %$ b111010010011 =% b111010010011 H% b0 "# b11111111111111111111100000000010 F# b11111111111111111111100000000010 d$ b11111111111111111111100000000010 Q% b10000000000000 G# b10000000000000 e$ b10000000000000 P% b0 E# b0 c$ b0 R% 0D# b10100100 I# b10100100 n$ b10100100 N% b100101010001000100011 H# b100101010001000100011 m$ b100101010001000100011 O% b10101000 C# b10101000 6% b10101000 V% b111010010011 B# b111010010011 5% b111010010011 W% b100000 >$ 1' #680000 0' #690000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d 0c 0]# 0`# 1Z# b0 N# b0 C% b0 K% 0M# b1 j$ b0 k$ b0 h$ 0(% b0 g b0 !# b0 =# b11101 \# b11101 ^$ b11101 _% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 3% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 *% b0 i$ b0 )% b11101 f# b11101 H$ b11101 z$ b0 g# b0 I$ b0 y$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b0 b$ b0 a$ b0 `$ b11101 f$ b0 p$ b10011 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b11101 +% 1-% b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b100001 >$ b1 B# b1 5% b1 W% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b11101 E# b11101 c$ b11101 R% 1D# b10101000 I# b10101000 n$ b10101000 N% b111010010011 H# b111010010011 m$ b111010010011 O% 1' #700000 0' #710000 b0 j$ 0Z# b0 \# b0 ^$ b0 _% b11111111111100000000011100010011 V b11111111111100000000011100010011 {" b11111111111100000000011100010011 5# b0 f# b0 H$ b0 z$ b0 f$ b1 g$ b0 +% 0-% b11111111111100000000011100010011 ` b11111111111100000000011100010011 $# b11111111111100000000011100010011 <# b11111111111100000000011100010011 T% 1b b10101100 W b10101100 '# b10101100 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1 "# b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b100010 >$ b10101100 a b10101100 }" b10101100 ;# b10101100 @# b10101100 U% b10101100 Z% 1' #720000 0' #730000 b111011101000000100110011 V b111011101000000100110011 {" b111011101000000100110011 5# b1110 N# b1110 C% b1110 K% 1M# 1T b10110000 W b10110000 '# b10110000 3# b111011101000000100110011 ` b111011101000000100110011 $# b111011101000000100110011 <# b111011101000000100110011 T% 1b b11111 /% b1110 3% b1111111 7% b10011 4% b10101100 U# b10101100 &$ b10101100 <% b10101100 G% b11111111111100000000011100010011 T# b11111111111100000000011100010011 %$ b11111111111100000000011100010011 =% b11111111111100000000011100010011 H% b10110000 a b10110000 }" b10110000 ;# b10110000 @# b10110000 U% b10110000 Z% b100011 >$ b10101100 C# b10101100 6% b10101100 V% b11111111111100000000011100010011 B# b11111111111100000000011100010011 5% b11111111111100000000011100010011 W% 1' #740000 0' #750000 b11111111111111111111111111111111 O# b11111111111111111111111111111111 B% b11111111111111111111111111111111 J% b11111111111111111111111111111111 ># b11111111111111111111111111111111 1% b11111111111111111111111111111111 c% b10 j$ b11 k$ b11111111111111111111111111111111 [# b11111111111111111111111111111111 _$ b11111111111111111111111111111111 `% 1Z# b11111111111111111111111111111111 ,% b1110 \# b1110 ^$ b1110 _% b1110 P# b1110 A% b1110 ^% b11101 R# b11101 ?% b11101 ]% b10 N# b10 C% b10 K% b1000101010010000100011 V b1000101010010000100011 {" b1000101010010000100011 5# b1110 f# b1110 H$ b1110 z$ b11111 b$ b11111111111111111111111111111111 a$ b1110 f$ b1111111 o$ b10011 g$ b1110 +% 1-% b1110 /% b11101 0% b10 3% b0 7% b110011 4% b10110000 U# b10110000 &$ b10110000 <% b10110000 G% b111011101000000100110011 T# b111011101000000100110011 %$ b111011101000000100110011 =% b111011101000000100110011 H% 1T b10110100 W b10110100 '# b10110100 3# b1000101010010000100011 ` b1000101010010000100011 $# b1000101010010000100011 <# b1000101010010000100011 T% 1b b1110 E# b1110 c$ b1110 R% 1D# b10101100 I# b10101100 n$ b10101100 N% b11111111111100000000011100010011 H# b11111111111100000000011100010011 m$ b11111111111100000000011100010011 O% b10110000 C# b10110000 6% b10110000 V% b111011101000000100110011 B# b111011101000000100110011 5% b111011101000000100110011 W% b100100 >$ b10110100 a b10110100 }" b10110100 ;# b10110100 @# b10110100 U% b10110100 Z% 1' #760000 0' #770000 b10000000000000 Q# b10000000000000 @% b10000000000000 I% b11111111111111111111111111111111 ># b11111111111111111111111111111111 1% b11111111111111111111111111111111 c% b10000000000000 ?# b10000000000000 2% b10000000000000 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b10 k$ b1111111111100000000111000010011 V b1111111111100000000111000010011 {" b1111111111100000000111000010011 5# 1>% b0 N# b0 C% b0 K% 0M# b10 P# b10 A% b10 ^% b101 R# b101 ?% b101 ]% b11111111111111111111111111111111 [# b11111111111111111111111111111111 _$ b11111111111111111111111111111111 `% b10 \# b10 ^$ b10 _% 1T b10111000 W b10111000 '# b10111000 3# b1111111111100000000111000010011 ` b1111111111100000000111000010011 $# b1111111111100000000111000010011 <# b1111111111100000000111000010011 T% 1b b10 /% b101 0% b1000 3% b10 8% b100011 4% b10110100 U# b10110100 &$ b10110100 <% b10110100 G% b1000101010010000100011 T# b1000101010010000100011 %$ b1000101010010000100011 =% b1000101010010000100011 H% b11111111111111111111111111111111 *% b10 f# b10 H$ b10 z$ b11111111111111111111111111111111 h# b11111111111111111111111111111111 J$ b11111111111111111111111111111111 u$ b1110 b$ b1110 a$ b11101 `$ b10 f$ b0 o$ b110011 g$ b11111111111111111111111111111111 ,% b10 +% b10111000 a b10111000 }" b10111000 ;# b10111000 @# b10111000 U% b10111000 Z% b100101 >$ b10110100 C# b10110100 6% b10110100 V% b1000101010010000100011 B# b1000101010010000100011 5% b1000101010010000100011 W% b11111111111111111111111111111111 F# b11111111111111111111111111111111 d$ b11111111111111111111111111111111 Q% b10 E# b10 c$ b10 R% b10110000 I# b10110000 n$ b10110000 N% b111011101000000100110011 H# b111011101000000100110011 m$ b111011101000000100110011 O% 1' #780000 0' #790000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# b11111111111111111111111111111111 U b11111111111111111111111111111111 (# b11111111111111111111111111111111 4# 1S b10000000001000 g b10000000001000 !# b10000000001000 =# 1`# 1c 1]# 0Z# b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b0 j$ b1111111111111111111000000000000000000000000000000010000000000000 h$ b11111111111111111111111111111111 f b11111111111111111111111111111111 ~" b11111111111111111111111111111111 :# b11111111111111111111111111111111 ^# b11111111111111111111111111111111 '% b10000000001000 a# b10000000001000 $% b10000000001000 _# b10000000001000 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b11100 N# b11100 C% b11100 K% 1M# b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000000001000 W b10000000001000 '# b10000000001000 3# b1 ` b1 $# b1 <# b1 T% 0b b111111111111111111111111111111110000000000000 i$ b10000000000000 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b10000000000000 i# b10000000000000 K$ b10000000000000 t$ b10 b$ b10 a$ b101 `$ b1000 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b11111 /% b0 0% b11100 3% b111111 7% b0 8% b10011 4% 0>% b10111000 U# b10111000 &$ b10111000 <% b10111000 G% b1111111111100000000111000010011 T# b1111111111100000000111000010011 %$ b1111111111100000000111000010011 =% b1111111111100000000111000010011 H% b0 "# b10000000000000 G# b10000000000000 e$ b10000000000000 P% b0 E# b0 c$ b0 R% 0D# b10110100 I# b10110100 n$ b10110100 N% b1000101010010000100011 H# b1000101010010000100011 m$ b1000101010010000100011 O% b10111000 C# b10111000 6% b10111000 V% b1111111111100000000111000010011 B# b1111111111100000000111000010011 5% b1111111111100000000111000010011 W% b100110 >$ 1' #800000 0' #810000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b11111111111 [# b11111111111 _$ b11111111111 `% 0c 0]# 0`# b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# 1Z# b0 N# b0 C% b0 K% 0M# b11 k$ b0 h$ b11111111111 ,% 0(% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 g b0 !# b0 =# b11100 \# b11100 ^$ b11100 _% b0 /% b0 3% b0 7% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 *% b0 i$ b0 )% b11100 f# b11100 H$ b11100 z$ b0 g# b0 I$ b0 y$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b11111 b$ b11111111111 a$ b0 `$ b11100 f$ b111111 o$ b0 p$ b10011 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b11100 +% 1-% b100111 >$ b1 B# b1 5% b1 W% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b11100 E# b11100 c$ b11100 R% 1D# b10111000 I# b10111000 n$ b10111000 N% b1111111111100000000111000010011 H# b1111111111100000000111000010011 m$ b1111111111100000000111000010011 O% 1' #820000 0' #830000 b0 k$ 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11111111111111111111011010110111 V b11111111111111111111011010110111 {" b11111111111111111111011010110111 5# b0 f# b0 H$ b0 z$ b0 b$ b0 a$ b0 f$ b0 o$ b1 g$ b0 ,% b0 +% 0-% b11111111111111111111011010110111 ` b11111111111111111111011010110111 $# b11111111111111111111011010110111 <# b11111111111111111111011010110111 T% 1b b10111100 W b10111100 '# b10111100 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1 "# b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b101000 >$ b10111100 a b10111100 }" b10111100 ;# b10111100 @# b10111100 U% b10111100 Z% 1' #840000 0' #850000 b11011100110001101000011010010011 V b11011100110001101000011010010011 {" b11011100110001101000011010010011 5# b1101 N# b1101 C% b1101 K% 1M# 1T b11000000 W b11000000 '# b11000000 3# b11011100110001101000011010010011 ` b11011100110001101000011010010011 $# b11011100110001101000011010010011 <# b11011100110001101000011010010011 T% 1b b11111 /% b11111 0% b1101 3% b1111111 7% b111 8% b110111 4% b10111100 U# b10111100 &$ b10111100 <% b10111100 G% b11111111111111111111011010110111 T# b11111111111111111111011010110111 %$ b11111111111111111111011010110111 =% b11111111111111111111011010110111 H% b11000000 a b11000000 }" b11000000 ;# b11000000 @# b11000000 U% b11000000 Z% b101001 >$ b10111100 C# b10111100 6% b10111100 V% b11111111111111111111011010110111 B# b11111111111111111111011010110111 5% b11111111111111111111011010110111 W% 1' #860000 0' #870000 b11111111111111111111000000000000 Q# b11111111111111111111000000000000 @% b11111111111111111111000000000000 I% b11111111111111111111000000000000 ?# b11111111111111111111000000000000 2% b11111111111111111111000000000000 b% b1 j$ b11 k$ b11111111111111111111000000000000 [# b11111111111111111111000000000000 _$ b11111111111111111111000000000000 `% 1Z# b11111111111111111111000000000000 ,% b1101 \# b1101 ^$ b1101 _% b1101 R# b1101 ?% b1101 ]% b110111100000000110110011 V b110111100000000110110011 {" b110111100000000110110011 5# b1101 f# b1101 H$ b1101 z$ b111 g# b111 I$ b111 y$ b11111 b$ b11111111111111111111111111111111 a$ b11111 `$ b1101 f$ b1111111 o$ b111 p$ b110111 g$ b1101 +% 1-% b1100 /% b1101 0% b1101110 7% b0 8% b10011 4% b11000000 U# b11000000 &$ b11000000 <% b11000000 G% b11011100110001101000011010010011 T# b11011100110001101000011010010011 %$ b11011100110001101000011010010011 =% b11011100110001101000011010010011 H% 1T b11000100 W b11000100 '# b11000100 3# b110111100000000110110011 ` b110111100000000110110011 $# b110111100000000110110011 <# b110111100000000110110011 T% 1b b1101 E# b1101 c$ b1101 R% 1D# b10111100 I# b10111100 n$ b10111100 N% b11111111111111111111011010110111 H# b11111111111111111111011010110111 m$ b11111111111111111111011010110111 O% b11000000 C# b11000000 6% b11000000 V% b11011100110001101000011010010011 B# b11011100110001101000011010010011 5% b11011100110001101000011010010011 W% b101010 >$ b11000100 a b11000100 }" b11000100 ;# b11000100 @# b11000100 U% b11000100 Z% 1' #880000 0' #890000 b11111111111111111110110111001100 O# b11111111111111111110110111001100 B% b11111111111111111110110111001100 J% b11111111111 Q# b11111111111 @% b11111111111 I% b11111111111111111110110111001100 ># b11111111111111111110110111001100 1% b11111111111111111110110111001100 c% b11111111111 ?# b11111111111 2% b11111111111 b% b1100101010011000100011 V b1100101010011000100011 {" b1100101010011000100011 5# b1101 P# b1101 A% b1101 ^% b11100 R# b11100 ?% b11100 ]% b11 N# b11 C% b11 K% b0 k$ b11111111111111111110110111001100 [# b11111111111111111110110111001100 _$ b11111111111111111110110111001100 `% 1T b11001000 W b11001000 '# b11001000 3# b1100101010011000100011 ` b1100101010011000100011 $# b1100101010011000100011 <# b1100101010011000100011 T% 1b b1101 /% b11100 0% b11 3% b0 7% b110011 4% b11000100 U# b11000100 &$ b11000100 <% b11000100 G% b110111100000000110110011 T# b110111100000000110110011 %$ b110111100000000110110011 =% b110111100000000110110011 H% b11111111111111111111000000000000 )% b0 g# b0 I$ b0 y$ b11111111111111111111000000000000 i# b11111111111111111111000000000000 K$ b11111111111111111111000000000000 t$ b1100 b$ b11111111111111111111110111001100 a$ b1101 `$ b1101110 o$ b0 p$ b10011 g$ b11111111111111111110110111001100 ,% b11001000 a b11001000 }" b11001000 ;# b11001000 @# b11001000 U% b11001000 Z% b101011 >$ b11000100 C# b11000100 6% b11000100 V% b110111100000000110110011 B# b110111100000000110110011 5% b110111100000000110110011 W% b11111111111111111111000000000000 G# b11111111111111111111000000000000 e$ b11111111111111111111000000000000 P% b11000000 I# b11000000 n$ b11000000 N% b11011100110001101000011010010011 H# b11011100110001101000011010010011 m$ b11011100110001101000011010010011 O% 1' #900000 0' #910000 b11111111111111111111010111001011 O# b11111111111111111111010111001011 B% b11111111111111111111010111001011 J% b10000000000000 Q# b10000000000000 @% b10000000000000 I% b11111111111111111111010111001011 ># b11111111111111111111010111001011 1% b11111111111111111111010111001011 c% b10000000000000 ?# b10000000000000 2% b10000000000000 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b10 j$ b1111111111111111111110000000000100000000100100011000110111001100 h$ b11111111111111111111010111001011 [# b11111111111111111111010111001011 _$ b11111111111111111111010111001011 `% b11 \# b11 ^$ b11 _% 1>% b0 N# b0 C% b0 K% 0M# b11 P# b11 A% b11 ^% b101 R# b101 ?% b101 ]% b110110010011 V b110110010011 {" b110110010011 5# b1111111111011111111011011100111001000110100 i$ b11111111111111111110110111001100 *% b11111111111 )% b11 f# b11 H$ b11 z$ b11111111111111111110110111001100 h# b11111111111111111110110111001100 J$ b11111111111111111110110111001100 u$ b11111111111 i# b11111111111 K$ b11111111111 t$ b1101 b$ b1101 a$ b11100 `$ b11 f$ b0 o$ b110011 g$ b11111111111111111111010111001011 ,% b11 +% b11 /% b101 0% b1100 3% b10 8% b100011 4% b11001000 U# b11001000 &$ b11001000 <% b11001000 G% b1100101010011000100011 T# b1100101010011000100011 %$ b1100101010011000100011 =% b1100101010011000100011 H% 1T b11001100 W b11001100 '# b11001100 3# b110110010011 ` b110110010011 $# b110110010011 <# b110110010011 T% 1b b11111111111111111110110111001100 F# b11111111111111111110110111001100 d$ b11111111111111111110110111001100 Q% b11111111111 G# b11111111111 e$ b11111111111 P% b11 E# b11 c$ b11 R% b11000100 I# b11000100 n$ b11000100 N% b110111100000000110110011 H# b110111100000000110110011 m$ b110111100000000110110011 O% b11001000 C# b11001000 6% b11001000 V% b1100101010011000100011 B# b1100101010011000100011 5% b1100101010011000100011 W% b101100 >$ b11001100 a b11001100 }" b11001100 ;# b11001100 @# b11001100 U% b11001100 Z% 1' #920000 0' #930000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# 1S b10000000001100 g b10000000001100 !# b10000000001100 =# 1`# 1c 1]# 0Z# b11111111111111111111010111001011 U b11111111111111111111010111001011 (# b11111111111111111111010111001011 4# b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b11011 N# b11011 C% b11011 K% 1M# b0 j$ b11 k$ b1111111111111111111000000000000000000001010001101010000000000000 h$ b11111111111111111111010111001011 f b11111111111111111111010111001011 ~" b11111111111111111111010111001011 :# b11111111111111111111010111001011 ^# b11111111111111111111010111001011 '% b10000000001100 a# b10000000001100 $% b10000000001100 _# b10000000001100 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b0 /% b0 0% b11011 3% b0 8% b10011 4% 0>% b11001100 U# b11001100 &$ b11001100 <% b11001100 G% b110110010011 T# b110110010011 %$ b110110010011 =% b110110010011 H% b11111111111111111111010111001011 *% b111111111111111111110101110010110000000000000 i$ b10000000000000 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b11111111111111111111010111001011 h# b11111111111111111111010111001011 J$ b11111111111111111111010111001011 u$ b10000000000000 i# b10000000000000 K$ b10000000000000 t$ b11 b$ b11 a$ b101 `$ b1100 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000000001100 W b10000000001100 '# b10000000001100 3# b1 ` b1 $# b1 <# b1 T% 0b b11111111111111111111010111001011 & b101101 >$ b11001100 C# b11001100 6% b11001100 V% b110110010011 B# b110110010011 5% b110110010011 W% b11111111111111111111010111001011 F# b11111111111111111111010111001011 d$ b11111111111111111111010111001011 Q% b10000000000000 G# b10000000000000 e$ b10000000000000 P% b0 E# b0 c$ b0 R% 0D# b11001000 I# b11001000 n$ b11001000 N% b1100101010011000100011 H# b1100101010011000100011 m$ b1100101010011000100011 O% b0 "# 1' #940000 0' #950000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d 0c 0]# 0`# 1Z# b11 j$ b0 k$ b0 h$ 0(% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 g b0 !# b0 =# b11011 \# b11011 ^$ b11011 _% b0 N# b0 C% b0 K% 0M# b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b0 *% b0 i$ b0 )% b11011 f# b11011 H$ b11011 z$ b0 g# b0 I$ b0 y$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b0 b$ b0 a$ b0 `$ b11011 f$ b0 p$ b10011 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b11011 +% 1-% b0 3% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b11011 E# b11011 c$ b11011 R% 1D# b11001100 I# b11001100 n$ b11001100 N% b110110010011 H# b110110010011 m$ b110110010011 O% b1 B# b1 5% b1 W% b101110 >$ 1' #960000 0' #970000 b0 j$ 0Z# b0 \# b0 ^$ b0 _% b10000000000000000000011000110111 V b10000000000000000000011000110111 {" b10000000000000000000011000110111 5# b0 f# b0 H$ b0 z$ b0 f$ b1 g$ b0 +% 0-% b10000000000000000000011000110111 ` b10000000000000000000011000110111 $# b10000000000000000000011000110111 <# b10000000000000000000011000110111 T% 1b b11010000 W b11010000 '# b11010000 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b11010000 a b11010000 }" b11010000 ;# b11010000 @# b11010000 U% b11010000 Z% b0 % b101111 >$ b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b1 "# 1' #980000 0' #990000 b1100 N# b1100 C% b1100 K% 1M# b110011011000001000110011 V b110011011000001000110011 {" b110011011000001000110011 5# b1100 3% b1000000 7% b110111 4% b11010000 U# b11010000 &$ b11010000 <% b11010000 G% b10000000000000000000011000110111 T# b10000000000000000000011000110111 %$ b10000000000000000000011000110111 =% b10000000000000000000011000110111 H% 1T b11010100 W b11010100 '# b11010100 3# b110011011000001000110011 ` b110011011000001000110011 $# b110011011000001000110011 <# b110011011000001000110011 T% 1b 1u b11010000 C# b11010000 6% b11010000 V% b10000000000000000000011000110111 B# b10000000000000000000011000110111 5% b10000000000000000000011000110111 W% b110000 >$ b11010100 a b11010100 }" b11010100 ;# b11010100 @# b11010100 U% b11010100 Z% 1' #1000000 0' #1010000 b10000000000000000000000000000000 O# b10000000000000000000000000000000 B% b10000000000000000000000000000000 J% b10000000000000000000000000000000 ># b10000000000000000000000000000000 1% b10000000000000000000000000000000 c% b10000000000000000000000000000000 [# b10000000000000000000000000000000 _$ b10000000000000000000000000000000 `% 1Z# b10000101010100000100011 V b10000101010100000100011 {" b10000101010100000100011 5# b1100 P# b1100 A% b1100 ^% b11011 R# b11011 ?% b11011 ]% b100 N# b100 C% b100 K% b10000000000000000000000000000000 ,% b1100 \# b1100 ^$ b1100 _% 1T b11011000 W b11011000 '# b11011000 3# b10000101010100000100011 ` b10000101010100000100011 $# b10000101010100000100011 <# b10000101010100000100011 T% 1b b1100 /% b11011 0% b100 3% b0 7% b110011 4% b11010100 U# b11010100 &$ b11010100 <% b11010100 G% b110011011000001000110011 T# b110011011000001000110011 %$ b110011011000001000110011 =% b110011011000001000110011 H% b1100 f# b1100 H$ b1100 z$ b11111111111111111111100000000000 a$ b1100 f$ b1000000 o$ b110111 g$ b1100 +% 1-% b11011000 a b11011000 }" b11011000 ;# b11011000 @# b11011000 U% b11011000 Z% b110001 >$ b11010100 C# b11010100 6% b11010100 V% b110011011000001000110011 B# b110011011000001000110011 5% b110011011000001000110011 W% b1100 E# b1100 c$ b1100 R% 1D# b11010000 I# b11010000 n$ b11010000 N% b10000000000000000000011000110111 H# b10000000000000000000011000110111 m$ b10000000000000000000011000110111 O% 1' #1020000 0' #1030000 b10000000000000 Q# b10000000000000 @% b10000000000000 I% b10000000000000000000000000000000 ># b10000000000000000000000000000000 1% b10000000000000000000000000000000 c% b10000000000000 ?# b10000000000000 2% b10000000000000 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b10000000000000000000000000000000 [# b10000000000000000000000000000000 _$ b10000000000000000000000000000000 `% b100 \# b100 ^$ b100 _% 1>% b0 N# b0 C% b0 K% 0M# b100 P# b100 A% b100 ^% b101 R# b101 ?% b101 ]% b10000010010111 V b10000010010111 {" b10000010010111 5# b10000000000000000000000000000000 *% b100 f# b100 H$ b100 z$ b10000000000000000000000000000000 h# b10000000000000000000000000000000 J$ b10000000000000000000000000000000 u$ b1100 b$ b1100 a$ b11011 `$ b100 f$ b0 o$ b110011 g$ b10000000000000000000000000000000 ,% b100 +% b100 /% b101 0% b10000 3% b10 8% b100011 4% b11011000 U# b11011000 &$ b11011000 <% b11011000 G% b10000101010100000100011 T# b10000101010100000100011 %$ b10000101010100000100011 =% b10000101010100000100011 H% 1T b11011100 W b11011100 '# b11011100 3# b10000010010111 ` b10000010010111 $# b10000010010111 <# b10000010010111 T% 1b b10000000000000000000000000000000 F# b10000000000000000000000000000000 d$ b10000000000000000000000000000000 Q% b100 E# b100 c$ b100 R% b11010100 I# b11010100 n$ b11010100 N% b110011011000001000110011 H# b110011011000001000110011 m$ b110011011000001000110011 O% b11011000 C# b11011000 6% b11011000 V% b10000101010100000100011 B# b10000101010100000100011 5% b10000101010100000100011 W% b110010 >$ b11011100 a b11011100 }" b11011100 ;# b11011100 @# b11011100 U% b11011100 Z% 1' #1040000 0' #1050000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# 1S b10000000010000 g b10000000010000 !# b10000000010000 =# 1`# 1c 1]# 0Z# b10000000000000000000000000000000 U b10000000000000000000000000000000 (# b10000000000000000000000000000000 4# b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b1 N# b1 C% b1 K% 1M# b1111111111111111111100000000000000000000000000000000000000000000 h$ b10000000000000000000000000000000 f b10000000000000000000000000000000 ~" b10000000000000000000000000000000 :# b10000000000000000000000000000000 ^# b10000000000000000000000000000000 '% b10000000010000 a# b10000000010000 $% b10000000010000 _# b10000000010000 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b0 /% b0 0% b1 3% b10111 4% 0>% b11011100 U# b11011100 &$ b11011100 <% b11011100 G% b10000010010111 T# b10000010010111 %$ b10000010010111 =% b10000010010111 H% b100000000000000000000000000000000000000000000 i$ b10000000000000 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b10000000000000 i# b10000000000000 K$ b10000000000000 t$ b100 b$ b100 a$ b101 `$ b10000 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000000010000 W b10000000010000 '# b10000000010000 3# b1 ` b1 $# b1 <# b1 T% 0b b110011 >$ b11011100 C# b11011100 6% b11011100 V% b10000010010111 B# b10000010010111 5% b10000010010111 W% b10000000000000 G# b10000000000000 e$ b10000000000000 P% b0 E# b0 c$ b0 R% 0D# b11011000 I# b11011000 n$ b11011000 N% b10000101010100000100011 H# b10000101010100000100011 m$ b10000101010100000100011 O% b0 "# 1' #1060000 0' #1070000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b10000011011100 [# b10000011011100 _$ b10000011011100 `% 0c 0]# 0`# 1Z# b1 j$ b0 h$ b10000011011100 ,% 0(% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 g b0 !# b0 =# b1 \# b1 ^$ b1 _% b0 N# b0 C% b0 K% 0M# b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b0 *% b0 i$ b0 )% b1 f# b1 H$ b1 z$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b0 b$ b0 a$ b0 `$ b1 f$ b10111 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b1 +% 1-% b0 3% b0 8% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b1 E# b1 c$ b1 R% 1D# b11011100 I# b11011100 n$ b11011100 N% b10000010010111 H# b10000010010111 m$ b10000010010111 O% b1 B# b1 5% b1 W% b110100 >$ 1' #1080000 0' #1090000 b0 j$ 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11110011100000001000000010010011 V b11110011100000001000000010010011 {" b11110011100000001000000010010011 5# b0 f# b0 H$ b0 z$ b0 g# b0 I$ b0 y$ b0 f$ b0 p$ b1 g$ b0 ,% b0 +% 0-% b11110011100000001000000010010011 ` b11110011100000001000000010010011 $# b11110011100000001000000010010011 <# b11110011100000001000000010010011 T% 1b b11100000 W b11100000 '# b11100000 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b11100000 a b11100000 }" b11100000 ;# b11100000 @# b11100000 U% b11100000 Z% b110101 >$ b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b1 "# 1' #1100000 0' #1110000 b10000011011100 Q# b10000011011100 @% b10000011011100 I% b10000011011100 ?# b10000011011100 2% b10000011011100 b% b1 R# b1 ?% b1 ]% b1 N# b1 C% b1 K% 1M# b1110100110111 V b1110100110111 {" b1110100110111 5# b11000 /% b1 0% b1 3% b1111001 7% b10011 4% b11100000 U# b11100000 &$ b11100000 <% b11100000 G% b11110011100000001000000010010011 T# b11110011100000001000000010010011 %$ b11110011100000001000000010010011 =% b11110011100000001000000010010011 H% 1T b11100100 W b11100100 '# b11100100 3# b1110100110111 ` b1110100110111 $# b1110100110111 <# b1110100110111 T% 1b b11100000 C# b11100000 6% b11100000 V% b11110011100000001000000010010011 B# b11110011100000001000000010010011 5% b11110011100000001000000010010011 W% b110110 >$ b11100100 a b11100100 }" b11100100 ;# b11100100 @# b11100100 U% b11100100 Z% 1' #1120000 0' #1130000 b0 Q# b0 @% b0 I% b0 ?# b0 2% b0 b% b10000000010100 [# b10000000010100 _$ b10000000010100 `% 1Z# b10000000000011010000110100010011 V b10000000000011010000110100010011 {" b10000000000011010000110100010011 5# b0 R# b0 ?% b0 ]% b11010 N# b11010 C% b11010 K% b1 j$ b10000000010100 ,% b1 \# b1 ^$ b1 _% 1T b11101000 W b11101000 '# b11101000 3# b10000000000011010000110100010011 ` b10000000000011010000110100010011 $# b10000000000011010000110100010011 <# b10000000000011010000110100010011 T% 1b b0 /% b0 0% b11010 3% b0 7% b1 8% b110111 4% b11100100 U# b11100100 &$ b11100100 <% b11100100 G% b1110100110111 T# b1110100110111 %$ b1110100110111 =% b1110100110111 H% b10000011011100 )% b1 f# b1 H$ b1 z$ b10000011011100 i# b10000011011100 K$ b10000011011100 t$ b11000 b$ b11111111111111111111111100111000 a$ b1 `$ b1 f$ b1111001 o$ b10011 g$ b1 +% 1-% b11101000 a b11101000 }" b11101000 ;# b11101000 @# b11101000 U% b11101000 Z% b110111 >$ b11100100 C# b11100100 6% b11100100 V% b1110100110111 B# b1110100110111 5% b1110100110111 W% b10000011011100 G# b10000011011100 e$ b10000011011100 P% b1 E# b1 c$ b1 R% 1D# b11100000 I# b11100000 n$ b11100000 N% b11110011100000001000000010010011 H# b11110011100000001000000010010011 m$ b11110011100000001000000010010011 O% 1' #1140000 0' #1150000 b1000000000000 Q# b1000000000000 @% b1000000000000 I% b1000000000000 ?# b1000000000000 2% b1000000000000 b% b10 j$ b1000000000000 [# b1000000000000 _$ b1000000000000 `% b11010 \# b11010 ^$ b11010 _% b11010 R# b11010 ?% b11010 ]% b1010110110111 V b1010110110111 {" b1010110110111 5# b0 )% b11010 f# b11010 H$ b11010 z$ b1 g# b1 I$ b1 y$ b0 i# b0 K$ b0 t$ b0 b$ b0 a$ b0 `$ b11010 f$ b0 o$ b1 p$ b110111 g$ b1000000000000 ,% b11010 +% b11010 0% b1000000 7% b0 8% b10011 4% b11101000 U# b11101000 &$ b11101000 <% b11101000 G% b10000000000011010000110100010011 T# b10000000000011010000110100010011 %$ b10000000000011010000110100010011 =% b10000000000011010000110100010011 H% 1T b11101100 W b11101100 '# b11101100 3# b1010110110111 ` b1010110110111 $# b1010110110111 <# b1010110110111 T% 1b b0 G# b0 e$ b0 P% b11010 E# b11010 c$ b11010 R% b11100100 I# b11100100 n$ b11100100 N% b1110100110111 H# b1110100110111 m$ b1110100110111 O% b11101000 C# b11101000 6% b11101000 V% b10000000000011010000110100010011 B# b10000000000011010000110100010011 5% b10000000000011010000110100010011 W% b111000 >$ b11101100 a b11101100 }" b11101100 ;# b11101100 @# b11101100 U% b11101100 Z% 1' #1160000 0' #1170000 b0 Q# b0 @% b0 I% b0 ?# b0 2% b0 b% b100011010001011000010110010011 V b100011010001011000010110010011 {" b100011010001011000010110010011 5# b0 R# b0 ?% b0 ]% b1011 N# b1011 C% b1011 K% b100000000000 [# b100000000000 _$ b100000000000 `% 1T b11110000 W b11110000 '# b11110000 3# b100011010001011000010110010011 ` b100011010001011000010110010011 $# b100011010001011000010110010011 <# b100011010001011000010110010011 T% 1b b0 0% b1011 3% b0 7% b1 8% b110111 4% b11101100 U# b11101100 &$ b11101100 <% b11101100 G% b1010110110111 T# b1010110110111 %$ b1010110110111 =% b1010110110111 H% b1000000000000 )% b0 g# b0 I$ b0 y$ b1000000000000 i# b1000000000000 K$ b1000000000000 t$ b11111111111111111111100000000000 a$ b11010 `$ b1000000 o$ b0 p$ b10011 g$ b100000000000 ,% b11110000 a b11110000 }" b11110000 ;# b11110000 @# b11110000 U% b11110000 Z% b1000000000000 $ b111001 >$ b11101100 C# b11101100 6% b11101100 V% b1010110110111 B# b1010110110111 5% b1010110110111 W% b1000000000000 G# b1000000000000 e$ b1000000000000 P% b11101000 I# b11101000 n$ b11101000 N% b10000000000011010000110100010011 H# b10000000000011010000110100010011 m$ b10000000000011010000110100010011 O% 1' #1180000 0' #1190000 b1000000000000 Q# b1000000000000 @% b1000000000000 I% b1000000000000 ?# b1000000000000 2% b1000000000000 b% b11 j$ b1000000000000 [# b1000000000000 _$ b1000000000000 `% b1011 \# b1011 ^$ b1011 _% b1011 R# b1011 ?% b1011 ]% b101111010000001010110011 V b101111010000001010110011 {" b101111010000001010110011 5# b0 )% b1011 f# b1011 H$ b1011 z$ b1 g# b1 I$ b1 y$ b0 i# b0 K$ b0 t$ b0 a$ b0 `$ b1011 f$ b0 o$ b1 p$ b110111 g$ b1000000000000 ,% b1011 +% b10100 /% b1011 0% b10001 7% b0 8% b10011 4% b11110000 U# b11110000 &$ b11110000 <% b11110000 G% b100011010001011000010110010011 T# b100011010001011000010110010011 %$ b100011010001011000010110010011 =% b100011010001011000010110010011 H% 1T b11110100 W b11110100 '# b11110100 3# b101111010000001010110011 ` b101111010000001010110011 $# b101111010000001010110011 <# b101111010000001010110011 T% 1b 1t b0 G# b0 e$ b0 P% b1011 E# b1011 c$ b1011 R% b11101100 I# b11101100 n$ b11101100 N% b1010110110111 H# b1010110110111 m$ b1010110110111 O% b11110000 C# b11110000 6% b11110000 V% b100011010001011000010110010011 B# b100011010001011000010110010011 5% b100011010001011000010110010011 W% b111010 >$ b100000000000 $ b11110100 a b11110100 }" b11110100 ;# b11110100 @# b11110100 U% b11110100 Z% 1' #1200000 0' #1210000 b1001000110100 O# b1001000110100 B% b1001000110100 J% b100000000000 Q# b100000000000 @% b100000000000 I% b1001000110100 ># b1001000110100 1% b1001000110100 c% b100000000000 ?# b100000000000 2% b100000000000 b% b10100001010000000100011 V b10100001010000000100011 {" b10100001010000000100011 5# b1011 P# b1011 A% b1011 ^% b11010 R# b11010 ?% b11010 ]% b101 N# b101 C% b101 K% b1001000110100 [# b1001000110100 _$ b1001000110100 `% 1T b11111000 W b11111000 '# b11111000 3# b10100001010000000100011 ` b10100001010000000100011 $# b10100001010000000100011 <# b10100001010000000100011 T% 1b b1011 /% b11010 0% b101 3% b0 7% b110011 4% b11110100 U# b11110100 &$ b11110100 <% b11110100 G% b101111010000001010110011 T# b101111010000001010110011 %$ b101111010000001010110011 =% b101111010000001010110011 H% b1000000000000 )% b0 g# b0 I$ b0 y$ b1000000000000 i# b1000000000000 K$ b1000000000000 t$ b10100 b$ b1000110100 a$ b1011 `$ b10001 o$ b0 p$ b10011 g$ b1001000110100 ,% b11111000 a b11111000 }" b11111000 ;# b11111000 @# b11111000 U% b11111000 Z% b111011 >$ b11110100 C# b11110100 6% b11110100 V% b101111010000001010110011 B# b101111010000001010110011 5% b101111010000001010110011 W% b1000000000000 G# b1000000000000 e$ b1000000000000 P% b11110000 I# b11110000 n$ b11110000 N% b100011010001011000010110010011 H# b100011010001011000010110010011 m$ b100011010001011000010110010011 O% 1' #1220000 0' #1230000 b1101000110100 O# b1101000110100 B% b1101000110100 J% b10000000010100 Q# b10000000010100 @% b10000000010100 I% b1101000110100 ># b1101000110100 1% b1101000110100 c% b10000000010100 ?# b10000000010100 2% b10000000010100 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b1 j$ b11 k$ b1111111111111111111111111111111111111111011011100110000000000000 h$ b1101000110100 [# b1101000110100 _$ b1101000110100 `% b101 \# b101 ^$ b101 _% 1>% b0 N# b0 C% b0 K% 0M# b101 P# b101 A% b101 ^% b1 R# b1 ?% b1 ]% b111011001010100110010110111 V b111011001010100110010110111 {" b111011001010100110010110111 5# b100100011010000000000000 i$ b1001000110100 *% b100000000000 )% b101 f# b101 H$ b101 z$ b1001000110100 h# b1001000110100 J$ b1001000110100 u$ b100000000000 i# b100000000000 K$ b100000000000 t$ b1011 b$ b1011 a$ b11010 `$ b101 f$ b0 o$ b110011 g$ b1101000110100 ,% b101 +% b101 /% b1 0% b0 3% b10 8% b100011 4% b11111000 U# b11111000 &$ b11111000 <% b11111000 G% b10100001010000000100011 T# b10100001010000000100011 %$ b10100001010000000100011 =% b10100001010000000100011 H% 1T b11111100 W b11111100 '# b11111100 3# b111011001010100110010110111 ` b111011001010100110010110111 $# b111011001010100110010110111 <# b111011001010100110010110111 T% 1b b1001000110100 F# b1001000110100 d$ b1001000110100 Q% b100000000000 G# b100000000000 e$ b100000000000 P% b101 E# b101 c$ b101 R% b11110100 I# b11110100 n$ b11110100 N% b101111010000001010110011 H# b101111010000001010110011 m$ b101111010000001010110011 O% b11111000 C# b11111000 6% b11111000 V% b10100001010000000100011 B# b10100001010000000100011 5% b10100001010000000100011 W% b111100 >$ b11111100 a b11111100 }" b11111100 ;# b11111100 @# b11111100 U% b11111100 Z% 1' #1240000 0' #1250000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# 1S b10000000010100 g b10000000010100 !# b10000000010100 =# 1`# 1c 1]# 0Z# b1101000110100 U b1101000110100 (# b1101000110100 4# b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b11001 N# b11001 C% b11001 K% 1M# b0 j$ b1 k$ b1111111111111111111111111111111111111100101101110111001111110000 h$ b1101000110100 f b1101000110100 ~" b1101000110100 :# b1101000110100 ^# b1101000110100 '% b10000000010100 a# b10000000010100 $% b10000000010100 _# b10000000010100 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b10110 /% b1010 0% b11001 3% b11 7% b100 8% b110111 4% 0>% b11111100 U# b11111100 &$ b11111100 <% b11111100 G% b111011001010100110010110111 T# b111011001010100110010110111 %$ b111011001010100110010110111 =% b111011001010100110010110111 H% b1101000110100 *% b11010010001000110000010000 i$ b10000000010100 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b1101000110100 h# b1101000110100 J$ b1101000110100 u$ b10000000010100 i# b10000000010100 K$ b10000000010100 t$ b101 b$ b101 a$ b1 `$ b0 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000000010100 W b10000000010100 '# b10000000010100 3# b1 ` b1 $# b1 <# b1 T% 0b b111101 >$ b11111100 C# b11111100 6% b11111100 V% b111011001010100110010110111 B# b111011001010100110010110111 5% b111011001010100110010110111 W% b1101000110100 F# b1101000110100 d$ b1101000110100 Q% b10000000010100 G# b10000000010100 e$ b10000000010100 P% b0 E# b0 c$ b0 R% 0D# b11111000 I# b11111000 n$ b11111000 N% b10100001010000000100011 H# b10100001010000000100011 m$ b10100001010000000100011 O% b0 "# 1' #1260000 0' #1270000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b111011001010100000000000000 [# b111011001010100000000000000 _$ b111011001010100000000000000 `% 0c 0]# 0`# 1Z# b1 j$ b10 k$ b0 h$ b111011001010100000000000000 ,% 0(% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 g b0 !# b0 =# b11001 \# b11001 ^$ b11001 _% b0 N# b0 C% b0 K% 0M# b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b0 *% b0 i$ b0 )% b11001 f# b11001 H$ b11001 z$ b100 g# b100 I$ b100 y$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b10110 b$ b1110110 a$ b1010 `$ b11001 f$ b11 o$ b100 p$ b110111 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b11001 +% 1-% b0 /% b0 0% b0 3% b0 7% b0 8% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b11001 E# b11001 c$ b11001 R% 1D# b11111100 I# b11111100 n$ b11111100 N% b111011001010100110010110111 H# b111011001010100110010110111 m$ b111011001010100110010110111 O% b1 B# b1 5% b1 W% b111110 >$ 1' #1280000 0' #1290000 b0 j$ b0 k$ 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b110010000111001000110010010011 V b110010000111001000110010010011 {" b110010000111001000110010010011 5# b0 f# b0 H$ b0 z$ b0 g# b0 I$ b0 y$ b0 b$ b0 a$ b0 `$ b0 f$ b0 o$ b0 p$ b1 g$ b0 ,% b0 +% 0-% b110010000111001000110010010011 ` b110010000111001000110010010011 $# b110010000111001000110010010011 <# b110010000111001000110010010011 T% 1b b100000000 W b100000000 '# b100000000 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b100000000 a b100000000 }" b100000000 ;# b100000000 @# b100000000 U% b100000000 Z% b111111 >$ b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b1 "# 1' #1300000 0' #1310000 b111011001010100000000000000 Q# b111011001010100000000000000 @% b111011001010100000000000000 I% b111011001010100000000000000 ?# b111011001010100000000000000 2% b111011001010100000000000000 b% b11001 R# b11001 ?% b11001 ]% b11001 N# b11001 C% b11001 K% 1M# b11111111111100000000010100010011 V b11111111111100000000010100010011 {" b11111111111100000000010100010011 5# b1 /% b11001 0% b11001 3% b11001 7% b10011 4% b100000000 U# b100000000 &$ b100000000 <% b100000000 G% b110010000111001000110010010011 T# b110010000111001000110010010011 %$ b110010000111001000110010010011 =% b110010000111001000110010010011 H% 1T b100000100 W b100000100 '# b100000100 3# b11111111111100000000010100010011 ` b11111111111100000000010100010011 $# b11111111111100000000010100010011 <# b11111111111100000000010100010011 T% 1b b100000000 C# b100000000 6% b100000000 V% b110010000111001000110010010011 B# b110010000111001000110010010011 5% b110010000111001000110010010011 W% b1000000 >$ b100000100 a b100000100 }" b100000100 ;# b100000100 @# b100000100 U% b100000100 Z% 1' #1320000 0' #1330000 b0 Q# b0 @% b0 I% b0 ?# b0 2% b0 b% b111011001010100001100100001 [# b111011001010100001100100001 _$ b111011001010100001100100001 `% 1Z# b101011001000001100110011 V b101011001000001100110011 {" b101011001000001100110011 5# b0 R# b0 ?% b0 ]% b1010 N# b1010 C% b1010 K% b1 j$ b1 k$ b111011001010100001100100001 ,% b11001 \# b11001 ^$ b11001 _% 1T b100001000 W b100001000 '# b100001000 3# b101011001000001100110011 ` b101011001000001100110011 $# b101011001000001100110011 <# b101011001000001100110011 T% 1b b11111 /% b0 0% b1010 3% b1111111 7% b100000100 U# b100000100 &$ b100000100 <% b100000100 G% b11111111111100000000010100010011 T# b11111111111100000000010100010011 %$ b11111111111100000000010100010011 =% b11111111111100000000010100010011 H% b111011001010100000000000000 )% b11001 f# b11001 H$ b11001 z$ b111011001010100000000000000 i# b111011001010100000000000000 K$ b111011001010100000000000000 t$ b1 b$ b1100100001 a$ b11001 `$ b11001 f$ b11001 o$ b10011 g$ b11001 +% 1-% b100001000 a b100001000 }" b100001000 ;# b100001000 @# b100001000 U% b100001000 Z% b1000001 >$ b100000100 C# b100000100 6% b100000100 V% b11111111111100000000010100010011 B# b11111111111100000000010100010011 5% b11111111111100000000010100010011 W% b111011001010100000000000000 G# b111011001010100000000000000 e$ b111011001010100000000000000 P% b11001 E# b11001 c$ b11001 R% 1D# b100000000 I# b100000000 n$ b100000000 N% b110010000111001000110010010011 H# b110010000111001000110010010011 m$ b110010000111001000110010010011 O% 1' #1340000 0' #1350000 b11111111111111111111111111111111 O# b11111111111111111111111111111111 B% b11111111111111111111111111111111 J% b111011001010100001100100001 Q# b111011001010100001100100001 @% b111011001010100001100100001 I% b11111111111111111111111111111111 ># b11111111111111111111111111111111 1% b11111111111111111111111111111111 c% b111011001010100001100100001 ?# b111011001010100001100100001 2% b111011001010100001100100001 b% b10 j$ b11 k$ b11111111111111111111111111111111 [# b11111111111111111111111111111111 _$ b11111111111111111111111111111111 `% b1010 \# b1010 ^$ b1010 _% b1010 P# b1010 A% b1010 ^% b11001 R# b11001 ?% b11001 ]% b110 N# b110 C% b110 K% b11000001010001000100011 V b11000001010001000100011 {" b11000001010001000100011 5# b0 )% b1010 f# b1010 H$ b1010 z$ b0 i# b0 K$ b0 t$ b11111 b$ b11111111111111111111111111111111 a$ b0 `$ b1010 f$ b1111111 o$ b11111111111111111111111111111111 ,% b1010 +% b1010 /% b11001 0% b110 3% b0 7% b110011 4% b100001000 U# b100001000 &$ b100001000 <% b100001000 G% b101011001000001100110011 T# b101011001000001100110011 %$ b101011001000001100110011 =% b101011001000001100110011 H% 1T b100001100 W b100001100 '# b100001100 3# b11000001010001000100011 ` b11000001010001000100011 $# b11000001010001000100011 <# b11000001010001000100011 T% 1b b0 G# b0 e$ b0 P% b1010 E# b1010 c$ b1010 R% b100000100 I# b100000100 n$ b100000100 N% b11111111111100000000010100010011 H# b11111111111100000000010100010011 m$ b11111111111100000000010100010011 O% b100001000 C# b100001000 6% b100001000 V% b101011001000001100110011 B# b101011001000001100110011 5% b101011001000001100110011 W% b1000010 >$ b100001100 a b100001100 }" b100001100 ;# b100001100 @# b100001100 U% b100001100 Z% 1' #1360000 0' #1370000 b111011001010100001100100000 O# b111011001010100001100100000 B% b111011001010100001100100000 J% b10000000010100 Q# b10000000010100 @% b10000000010100 I% b111011001010100001100100000 ># b111011001010100001100100000 1% b111011001010100001100100000 c% b10000000010100 ?# b10000000010100 2% b10000000010100 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b10000000000000000000110000110111 V b10000000000000000000110000110111 {" b10000000000000000000110000110111 5# 1>% b0 N# b0 C% b0 K% 0M# b110 P# b110 A% b110 ^% b1 R# b1 ?% b1 ]% b11 j$ b1111100010011010101111001101111100000111011001010100001100100001 h$ b111011001010100001100100000 [# b111011001010100001100100000 _$ b111011001010100001100100000 `% b110 \# b110 ^$ b110 _% 1T b100010000 W b100010000 '# b100010000 3# b10000000000000000000110000110111 ` b10000000000000000000110000110111 $# b10000000000000000000110000110111 <# b10000000000000000000110000110111 T% 1b b110 /% b1 0% b100 3% b10 8% b100011 4% b100001100 U# b100001100 &$ b100001100 <% b100001100 G% b11000001010001000100011 T# b11000001010001000100011 %$ b11000001010001000100011 =% b11000001010001000100011 H% b11101100101010000110010000011111000100110101011110011011111 i$ b11111111111111111111111111111111 *% b111011001010100001100100001 )% b110 f# b110 H$ b110 z$ b11111111111111111111111111111111 h# b11111111111111111111111111111111 J$ b11111111111111111111111111111111 u$ b111011001010100001100100001 i# b111011001010100001100100001 K$ b111011001010100001100100001 t$ b1010 b$ b1010 a$ b11001 `$ b110 f$ b0 o$ b110011 g$ b111011001010100001100100000 ,% b110 +% b100010000 a b100010000 }" b100010000 ;# b100010000 @# b100010000 U% b100010000 Z% b1000011 >$ b100001100 C# b100001100 6% b100001100 V% b11000001010001000100011 B# b11000001010001000100011 5% b11000001010001000100011 W% b11111111111111111111111111111111 F# b11111111111111111111111111111111 d$ b11111111111111111111111111111111 Q% b111011001010100001100100001 G# b111011001010100001100100001 e$ b111011001010100001100100001 P% b110 E# b110 c$ b110 R% b100001000 I# b100001000 n$ b100001000 N% b101011001000001100110011 H# b101011001000001100110011 m$ b101011001000001100110011 O% 1' #1380000 0' #1390000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# b111011001010100001100100000 U b111011001010100001100100000 (# b111011001010100001100100000 4# 1S b10000000011000 g b10000000011000 !# b10000000011000 =# 1`# 1c 1]# 0Z# b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b0 j$ b10 k$ b1111111111111111111111110001001011000011101100101100000110000000 h$ b111011001010100001100100000 f b111011001010100001100100000 ~" b111011001010100001100100000 :# b111011001010100001100100000 ^# b111011001010100001100100000 '% b10000000011000 a# b10000000011000 $% b10000000011000 _# b10000000011000 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b11000 N# b11000 C% b11000 K% 1M# b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000000011000 W b10000000011000 '# b10000000011000 3# b1 ` b1 $# b1 <# b1 T% 0b b111011001010100001100100000 *% b1110110100111100010011010011111010000000 i$ b10000000010100 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b111011001010100001100100000 h# b111011001010100001100100000 J$ b111011001010100001100100000 u$ b10000000010100 i# b10000000010100 K$ b10000000010100 t$ b110 b$ b110 a$ b1 `$ b100 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b0 /% b0 0% b11000 3% b1000000 7% b0 8% b110111 4% 0>% b100010000 U# b100010000 &$ b100010000 <% b100010000 G% b10000000000000000000110000110111 T# b10000000000000000000110000110111 %$ b10000000000000000000110000110111 =% b10000000000000000000110000110111 H% b0 "# b111011001010100001100100000 F# b111011001010100001100100000 d$ b111011001010100001100100000 Q% b10000000010100 G# b10000000010100 e$ b10000000010100 P% b0 E# b0 c$ b0 R% 0D# b100001100 I# b100001100 n$ b100001100 N% b11000001010001000100011 H# b11000001010001000100011 m$ b11000001010001000100011 O% b100010000 C# b100010000 6% b100010000 V% b10000000000000000000110000110111 B# b10000000000000000000110000110111 5% b10000000000000000000110000110111 W% b1000100 >$ 1' #1400000 0' #1410000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b10000000000000000000000000000000 [# b10000000000000000000000000000000 _$ b10000000000000000000000000000000 `% 0c 0]# 0`# 1Z# b0 N# b0 C% b0 K% 0M# b0 k$ b0 h$ b10000000000000000000000000000000 ,% 0(% b0 g b0 !# b0 =# b11000 \# b11000 ^$ b11000 _% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 3% b0 7% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 *% b0 i$ b0 )% b11000 f# b11000 H$ b11000 z$ b0 g# b0 I$ b0 y$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b0 b$ b11111111111111111111100000000000 a$ b0 `$ b11000 f$ b1000000 o$ b0 p$ b110111 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b11000 +% 1-% b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b1000101 >$ b1 B# b1 5% b1 W% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b11000 E# b11000 c$ b11000 R% 1D# b100010000 I# b100010000 n$ b100010000 N% b10000000000000000000110000110111 H# b10000000000000000000110000110111 m$ b10000000000000000000110000110111 O% 1' #1420000 0' #1430000 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11111111111111000000110000010011 V b11111111111111000000110000010011 {" b11111111111111000000110000010011 5# b0 f# b0 H$ b0 z$ b0 a$ b0 f$ b0 o$ b1 g$ b0 ,% b0 +% 0-% b11111111111111000000110000010011 ` b11111111111111000000110000010011 $# b11111111111111000000110000010011 <# b11111111111111000000110000010011 T% 1b b100010100 W b100010100 '# b100010100 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1 "# b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b1000110 >$ b100010100 a b100010100 }" b100010100 ;# b100010100 @# b100010100 U% b100010100 Z% 1' #1440000 0' #1450000 b10000000000000000000000000000000 Q# b10000000000000000000000000000000 @% b10000000000000000000000000000000 I% b10000000000000000000000000000000 ?# b10000000000000000000000000000000 2% b10000000000000000000000000000000 b% b100000000010010010011 V b100000000010010010011 {" b100000000010010010011 5# b11000 R# b11000 ?% b11000 ]% b11000 N# b11000 C% b11000 K% 1M# 1T b100011000 W b100011000 '# b100011000 3# b100000000010010010011 ` b100000000010010010011 $# b100000000010010010011 <# b100000000010010010011 T% 1b b11111 /% b11000 0% b11000 3% b1111111 7% b10011 4% b100010100 U# b100010100 &$ b100010100 <% b100010100 G% b11111111111111000000110000010011 T# b11111111111111000000110000010011 %$ b11111111111111000000110000010011 =% b11111111111111000000110000010011 H% b100011000 a b100011000 }" b100011000 ;# b100011000 @# b100011000 U% b100011000 Z% b1000111 >$ b100010100 C# b100010100 6% b100010100 V% b11111111111111000000110000010011 B# b11111111111111000000110000010011 5% b11111111111111000000110000010011 W% 1' #1460000 0' #1470000 b0 Q# b0 @% b0 I% b0 ?# b0 2% b0 b% b1111111111111111111111111111111 [# b1111111111111111111111111111111 _$ b1111111111111111111111111111111 `% 1Z# b11 k$ b1111111111111111111111111111111 ,% b11000 \# b11000 ^$ b11000 _% b0 R# b0 ?% b0 ]% b1001 N# b1001 C% b1001 K% b100111000000001110110011 V b100111000000001110110011 {" b100111000000001110110011 5# b10000000000000000000000000000000 )% b11000 f# b11000 H$ b11000 z$ b10000000000000000000000000000000 i# b10000000000000000000000000000000 K$ b10000000000000000000000000000000 t$ b11111 b$ b11111111111111111111111111111111 a$ b11000 `$ b11000 f$ b1111111 o$ b10011 g$ b11000 +% 1-% b1 /% b0 0% b1001 3% b0 7% b100011000 U# b100011000 &$ b100011000 <% b100011000 G% b100000000010010010011 T# b100000000010010010011 %$ b100000000010010010011 =% b100000000010010010011 H% 1T b100011100 W b100011100 '# b100011100 3# b100111000000001110110011 ` b100111000000001110110011 $# b100111000000001110110011 <# b100111000000001110110011 T% 1b b10000000000000000000000000000000 G# b10000000000000000000000000000000 e$ b10000000000000000000000000000000 P% b11000 E# b11000 c$ b11000 R% 1D# b100010100 I# b100010100 n$ b100010100 N% b11111111111111000000110000010011 H# b11111111111111000000110000010011 m$ b11111111111111000000110000010011 O% b100011000 C# b100011000 6% b100011000 V% b100000000010010010011 B# b100000000010010010011 5% b100000000010010010011 W% b1001000 >$ b100011100 a b100011100 }" b100011100 ;# b100011100 @# b100011100 U% b100011100 Z% 1' #1480000 0' #1490000 b1 O# b1 B% b1 J% b1111111111111111111111111111111 Q# b1111111111111111111111111111111 @% b1111111111111111111111111111111 I% b1 ># b1 1% b1 c% b1111111111111111111111111111111 ?# b1111111111111111111111111111111 2% b1111111111111111111111111111111 b% b11100001010010000100011 V b11100001010010000100011 {" b11100001010010000100011 5# b1001 P# b1001 A% b1001 ^% b11000 R# b11000 ?% b11000 ]% b111 N# b111 C% b111 K% b1 j$ b1 k$ b1 [# b1 _$ b1 `% b1001 \# b1001 ^$ b1001 _% 1T b100100000 W b100100000 '# b100100000 3# b11100001010010000100011 ` b11100001010010000100011 $# b11100001010010000100011 <# b11100001010010000100011 T% 1b b1001 /% b11000 0% b111 3% b110011 4% b100011100 U# b100011100 &$ b100011100 <% b100011100 G% b100111000000001110110011 T# b100111000000001110110011 %$ b100111000000001110110011 =% b100111000000001110110011 H% b0 )% b1001 f# b1001 H$ b1001 z$ b0 i# b0 K$ b0 t$ b1 b$ b1 a$ b0 `$ b1001 f$ b0 o$ b1 ,% b1001 +% b100100000 a b100100000 }" b100100000 ;# b100100000 @# b100100000 U% b100100000 Z% b1001001 >$ b100011100 C# b100011100 6% b100011100 V% b100111000000001110110011 B# b100111000000001110110011 5% b100111000000001110110011 W% b0 G# b0 e$ b0 P% b1001 E# b1001 c$ b1001 R% b100011000 I# b100011000 n$ b100011000 N% b100000000010010010011 H# b100000000010010010011 m$ b100000000010010010011 O% 1' #1500000 0' #1510000 b10000000000000000000000000000000 O# b10000000000000000000000000000000 B% b10000000000000000000000000000000 J% b10000000010100 Q# b10000000010100 @% b10000000010100 I% b10000000000000000000000000000000 ># b10000000000000000000000000000000 1% b10000000000000000000000000000000 c% b10000000010100 ?# b10000000010100 2% b10000000010100 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b10 j$ b0 k$ b1111111111111111111111111111111110000000000000000000000000000001 h$ b10000000000000000000000000000000 [# b10000000000000000000000000000000 _$ b10000000000000000000000000000000 `% b111 \# b111 ^$ b111 _% 1>% b0 N# b0 C% b0 K% 0M# b111 P# b111 A% b111 ^% b1 R# b1 ?% b1 ]% b100000000101110010011 V b100000000101110010011 {" b100000000101110010011 5# b1111111111111111111111111111111 i$ b1 *% b1111111111111111111111111111111 )% b111 f# b111 H$ b111 z$ b1 h# b1 J$ b1 u$ b1111111111111111111111111111111 i# b1111111111111111111111111111111 K$ b1111111111111111111111111111111 t$ b1001 b$ b1001 a$ b11000 `$ b111 f$ b110011 g$ b10000000000000000000000000000000 ,% b111 +% b111 /% b1 0% b1000 3% b10 8% b100011 4% b100100000 U# b100100000 &$ b100100000 <% b100100000 G% b11100001010010000100011 T# b11100001010010000100011 %$ b11100001010010000100011 =% b11100001010010000100011 H% 1T b100100100 W b100100100 '# b100100100 3# b100000000101110010011 ` b100000000101110010011 $# b100000000101110010011 <# b100000000101110010011 T% 1b b1 F# b1 d$ b1 Q% b1111111111111111111111111111111 G# b1111111111111111111111111111111 e$ b1111111111111111111111111111111 P% b111 E# b111 c$ b111 R% b100011100 I# b100011100 n$ b100011100 N% b100111000000001110110011 H# b100111000000001110110011 m$ b100111000000001110110011 O% b100100000 C# b100100000 6% b100100000 V% b11100001010010000100011 B# b11100001010010000100011 5% b11100001010010000100011 W% b1001010 >$ b100100100 a b100100100 }" b100100100 ;# b100100100 @# b100100100 U% b100100100 Z% 1' #1520000 0' #1530000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# 1S b10000000011100 g b10000000011100 !# b10000000011100 =# 1`# 1c 1]# 0Z# b10000000000000000000000000000000 U b10000000000000000000000000000000 (# b10000000000000000000000000000000 4# b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b10111 N# b10111 C% b10111 K% 1M# b0 j$ b11 k$ b1111111111111111111011111111011000000000000000000000000000000000 h$ b10000000000000000000000000000000 f b10000000000000000000000000000000 ~" b10000000000000000000000000000000 :# b10000000000000000000000000000000 ^# b10000000000000000000000000000000 '% b10000000011100 a# b10000000011100 $% b10000000011100 _# b10000000011100 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b1 /% b0 0% b10111 3% b0 8% b10011 4% 0>% b100100100 U# b100100100 &$ b100100100 <% b100100100 G% b100000000101110010011 T# b100000000101110010011 %$ b100000000101110010011 =% b100000000101110010011 H% b10000000000000000000000000000000 *% b100000000101000000000000000000000000000000000 i$ b10000000010100 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b10000000000000000000000000000000 h# b10000000000000000000000000000000 J$ b10000000000000000000000000000000 u$ b10000000010100 i# b10000000010100 K$ b10000000010100 t$ b111 b$ b111 a$ b1 `$ b1000 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000000011100 W b10000000011100 '# b10000000011100 3# b1 ` b1 $# b1 <# b1 T% 0b b1001011 >$ b100100100 C# b100100100 6% b100100100 V% b100000000101110010011 B# b100000000101110010011 5% b100000000101110010011 W% b10000000000000000000000000000000 F# b10000000000000000000000000000000 d$ b10000000000000000000000000000000 Q% b10000000010100 G# b10000000010100 e$ b10000000010100 P% b0 E# b0 c$ b0 R% 0D# b100100000 I# b100100000 n$ b100100000 N% b11100001010010000100011 H# b11100001010010000100011 m$ b11100001010010000100011 O% b0 "# 1' #1540000 0' #1550000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b1 [# b1 _$ b1 `% 0c 0]# 0`# 1Z# b11 j$ b1 k$ b0 h$ b1 ,% 0(% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 g b0 !# b0 =# b10111 \# b10111 ^$ b10111 _% b0 N# b0 C% b0 K% 0M# b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b0 *% b0 i$ b0 )% b10111 f# b10111 H$ b10111 z$ b0 g# b0 I$ b0 y$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b1 b$ b1 a$ b0 `$ b10111 f$ b0 p$ b10011 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b10111 +% 1-% b0 /% b0 3% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b10111 E# b10111 c$ b10111 R% 1D# b100100100 I# b100100100 n$ b100100100 N% b100000000101110010011 H# b100000000101110010011 m$ b100000000101110010011 O% b1 B# b1 5% b1 W% b1001100 >$ 1' #1560000 0' #1570000 b0 j$ b0 k$ 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b10000000000000000000010000110111 V b10000000000000000000010000110111 {" b10000000000000000000010000110111 5# b0 f# b0 H$ b0 z$ b0 b$ b0 a$ b0 f$ b1 g$ b0 ,% b0 +% 0-% b10000000000000000000010000110111 ` b10000000000000000000010000110111 $# b10000000000000000000010000110111 <# b10000000000000000000010000110111 T% 1b b100101000 W b100101000 '# b100101000 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b100101000 a b100101000 }" b100101000 ;# b100101000 @# b100101000 U% b100101000 Z% b1001101 >$ b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b1 "# 1' #1580000 0' #1590000 b1000 N# b1000 C% b1000 K% 1M# b11111111111101000000010000010011 V b11111111111101000000010000010011 {" b11111111111101000000010000010011 5# b1000 3% b1000000 7% b110111 4% b100101000 U# b100101000 &$ b100101000 <% b100101000 G% b10000000000000000000010000110111 T# b10000000000000000000010000110111 %$ b10000000000000000000010000110111 =% b10000000000000000000010000110111 H% 1T b100101100 W b100101100 '# b100101100 3# b11111111111101000000010000010011 ` b11111111111101000000010000010011 $# b11111111111101000000010000010011 <# b11111111111101000000010000010011 T% 1b b100101000 C# b100101000 6% b100101000 V% b10000000000000000000010000110111 B# b10000000000000000000010000110111 5% b10000000000000000000010000110111 W% b1001110 >$ b100101100 a b100101100 }" b100101100 ;# b100101100 @# b100101100 U% b100101100 Z% 1' #1600000 0' #1610000 b10000000000000000000000000000000 Q# b10000000000000000000000000000000 @% b10000000000000000000000000000000 I% b10000000000000000000000000000000 ?# b10000000000000000000000000000000 2% b10000000000000000000000000000000 b% b10000000000000000000000000000000 [# b10000000000000000000000000000000 _$ b10000000000000000000000000000000 `% 1Z# b100010111000010000110011 V b100010111000010000110011 {" b100010111000010000110011 5# b1000 R# b1000 ?% b1000 ]% b10000000000000000000000000000000 ,% b1000 \# b1000 ^$ b1000 _% 1T b100110000 W b100110000 '# b100110000 3# b100010111000010000110011 ` b100010111000010000110011 $# b100010111000010000110011 <# b100010111000010000110011 T% 1b b11111 /% b1000 0% b1111111 7% b10011 4% b100101100 U# b100101100 &$ b100101100 <% b100101100 G% b11111111111101000000010000010011 T# b11111111111101000000010000010011 %$ b11111111111101000000010000010011 =% b11111111111101000000010000010011 H% b1000 f# b1000 H$ b1000 z$ b11111111111111111111100000000000 a$ b1000 f$ b1000000 o$ b110111 g$ b1000 +% 1-% b100110000 a b100110000 }" b100110000 ;# b100110000 @# b100110000 U% b100110000 Z% b1001111 >$ b100101100 C# b100101100 6% b100101100 V% b11111111111101000000010000010011 B# b11111111111101000000010000010011 5% b11111111111101000000010000010011 W% b1000 E# b1000 c$ b1000 R% 1D# b100101000 I# b100101000 n$ b100101000 N% b10000000000000000000010000110111 H# b10000000000000000000010000110111 m$ b10000000000000000000010000110111 O% 1' #1620000 0' #1630000 b1111111111111111111111111111111 O# b1111111111111111111111111111111 B% b1111111111111111111111111111111 J% b1 Q# b1 @% b1 I% b1111111111111111111111111111111 ># b1111111111111111111111111111111 1% b1111111111111111111111111111111 c% b1 ?# b1 2% b1 b% b11 k$ b1111111111111111111111111111111 [# b1111111111111111111111111111111 _$ b1111111111111111111111111111111 `% b1000 P# b1000 A% b1000 ^% b10111 R# b10111 ?% b10111 ]% b100000001010011000100011 V b100000001010011000100011 {" b100000001010011000100011 5# b10000000000000000000000000000000 )% b10000000000000000000000000000000 i# b10000000000000000000000000000000 K$ b10000000000000000000000000000000 t$ b11111 b$ b11111111111111111111111111111111 a$ b1000 `$ b1111111 o$ b10011 g$ b1111111111111111111111111111111 ,% b1000 /% b10111 0% b0 7% b110011 4% b100110000 U# b100110000 &$ b100110000 <% b100110000 G% b100010111000010000110011 T# b100010111000010000110011 %$ b100010111000010000110011 =% b100010111000010000110011 H% 1T b100110100 W b100110100 '# b100110100 3# b100000001010011000100011 ` b100000001010011000100011 $# b100000001010011000100011 <# b100000001010011000100011 T% 1b b10000000000000000000000000000000 G# b10000000000000000000000000000000 e$ b10000000000000000000000000000000 P% b100101100 I# b100101100 n$ b100101100 N% b11111111111101000000010000010011 H# b11111111111101000000010000010011 m$ b11111111111101000000010000010011 O% b100110000 C# b100110000 6% b100110000 V% b100010111000010000110011 B# b100010111000010000110011 5% b100010111000010000110011 W% b1010000 >$ b100110100 a b100110100 }" b100110100 ;# b100110100 @# b100110100 U% b100110100 Z% 1' #1640000 0' #1650000 b10000000000000000000000000000000 O# b10000000000000000000000000000000 B% b10000000000000000000000000000000 J% b10000000010100 Q# b10000000010100 @% b10000000010100 I% b10000000000000000000000000000000 ># b10000000000000000000000000000000 1% b10000000000000000000000000000000 c% b10000000010100 ?# b10000000010100 2% b10000000010100 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b11111111111100000000101100010011 V b11111111111100000000101100010011 {" b11111111111100000000101100010011 5# 1>% b0 N# b0 C% b0 K% 0M# b1 R# b1 ?% b1 ]% b1 j$ b1 k$ b1111111111111111111111111111111110000000000000000000000000000001 h$ b10000000000000000000000000000000 [# b10000000000000000000000000000000 _$ b10000000000000000000000000000000 `% 1T b100111000 W b100111000 '# b100111000 3# b11111111111100000000101100010011 ` b11111111111100000000101100010011 $# b11111111111100000000101100010011 <# b11111111111100000000101100010011 T% 1b b1 0% b1100 3% b10 8% b100011 4% b100110100 U# b100110100 &$ b100110100 <% b100110100 G% b100000001010011000100011 T# b100000001010011000100011 %$ b100000001010011000100011 =% b100000001010011000100011 H% b1111111111111111111111111111111 i$ b1111111111111111111111111111111 *% b1 )% b1111111111111111111111111111111 h# b1111111111111111111111111111111 J$ b1111111111111111111111111111111 u$ b1 i# b1 K$ b1 t$ b1000 b$ b1000 a$ b10111 `$ b0 o$ b110011 g$ b10000000000000000000000000000000 ,% b100111000 a b100111000 }" b100111000 ;# b100111000 @# b100111000 U% b100111000 Z% b1010001 >$ b100110100 C# b100110100 6% b100110100 V% b100000001010011000100011 B# b100000001010011000100011 5% b100000001010011000100011 W% b1111111111111111111111111111111 F# b1111111111111111111111111111111 d$ b1111111111111111111111111111111 Q% b1 G# b1 e$ b1 P% b100110000 I# b100110000 n$ b100110000 N% b100010111000010000110011 H# b100010111000010000110011 m$ b100010111000010000110011 O% 1' #1660000 0' #1670000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# b10000000000000000000000000000000 U b10000000000000000000000000000000 (# b10000000000000000000000000000000 4# 1S b10000000100000 g b10000000100000 !# b10000000100000 =# 1`# 1c 1]# 0Z# b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b0 j$ b0 k$ b1111111111111111111011111111011000000000000000000000000000000000 h$ b10000000000000000000000000000000 f b10000000000000000000000000000000 ~" b10000000000000000000000000000000 :# b10000000000000000000000000000000 ^# b10000000000000000000000000000000 '% b10000000100000 a# b10000000100000 $% b10000000100000 _# b10000000100000 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b10110 N# b10110 C% b10110 K% 1M# b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000000100000 W b10000000100000 '# b10000000100000 3# b1 ` b1 $# b1 <# b1 T% 0b b10000000000000000000000000000000 *% b100000000101000000000000000000000000000000000 i$ b10000000010100 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b10000000000000000000000000000000 h# b10000000000000000000000000000000 J$ b10000000000000000000000000000000 u$ b10000000010100 i# b10000000010100 K$ b10000000010100 t$ b1 `$ b1100 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b11111 /% b0 0% b10110 3% b1111111 7% b0 8% b10011 4% 0>% b100111000 U# b100111000 &$ b100111000 <% b100111000 G% b11111111111100000000101100010011 T# b11111111111100000000101100010011 %$ b11111111111100000000101100010011 =% b11111111111100000000101100010011 H% b0 "# b10000000000000000000000000000000 F# b10000000000000000000000000000000 d$ b10000000000000000000000000000000 Q% b10000000010100 G# b10000000010100 e$ b10000000010100 P% b0 E# b0 c$ b0 R% 0D# b100110100 I# b100110100 n$ b100110100 N% b100000001010011000100011 H# b100000001010011000100011 m$ b100000001010011000100011 O% b100111000 C# b100111000 6% b100111000 V% b11111111111100000000101100010011 B# b11111111111100000000101100010011 5% b11111111111100000000101100010011 W% b1010010 >$ 1' #1680000 0' #1690000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b11111111111111111111111111111111 [# b11111111111111111111111111111111 _$ b11111111111111111111111111111111 `% 0c 0]# 0`# 1Z# b0 N# b0 C% b0 K% 0M# b10 j$ b11 k$ b0 h$ b11111111111111111111111111111111 ,% 0(% b0 g b0 !# b0 =# b10110 \# b10110 ^$ b10110 _% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 /% b0 3% b0 7% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 *% b0 i$ b0 )% b10110 f# b10110 H$ b10110 z$ b0 g# b0 I$ b0 y$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b11111 b$ b11111111111111111111111111111111 a$ b0 `$ b10110 f$ b1111111 o$ b0 p$ b10011 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b10110 +% 1-% b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b1010011 >$ b1 B# b1 5% b1 W% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b10110 E# b10110 c$ b10110 R% 1D# b100111000 I# b100111000 n$ b100111000 N% b11111111111100000000101100010011 H# b11111111111100000000101100010011 m$ b11111111111100000000101100010011 O% 1' #1700000 0' #1710000 b0 j$ b0 k$ 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b111011001010100001110110111 V b111011001010100001110110111 {" b111011001010100001110110111 5# b0 f# b0 H$ b0 z$ b0 b$ b0 a$ b0 f$ b0 o$ b1 g$ b0 ,% b0 +% 0-% b111011001010100001110110111 ` b111011001010100001110110111 $# b111011001010100001110110111 <# b111011001010100001110110111 T% 1b b100111100 W b100111100 '# b100111100 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1 "# b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b1010100 >$ b100111100 a b100111100 }" b100111100 ;# b100111100 @# b100111100 U% b100111100 Z% 1' #1720000 0' #1730000 b110010000100111000001110010011 V b110010000100111000001110010011 {" b110010000100111000001110010011 5# b111 N# b111 C% b111 K% 1M# 1T b101000000 W b101000000 '# b101000000 3# b110010000100111000001110010011 ` b110010000100111000001110010011 $# b110010000100111000001110010011 <# b110010000100111000001110010011 T% 1b b10110 /% b1010 0% b111 3% b11 7% b100 8% b110111 4% b100111100 U# b100111100 &$ b100111100 <% b100111100 G% b111011001010100001110110111 T# b111011001010100001110110111 %$ b111011001010100001110110111 =% b111011001010100001110110111 H% b101000000 a b101000000 }" b101000000 ;# b101000000 @# b101000000 U% b101000000 Z% b1010101 >$ b100111100 C# b100111100 6% b100111100 V% b111011001010100001110110111 B# b111011001010100001110110111 5% b111011001010100001110110111 W% 1' #1740000 0' #1750000 b111011001010100000000000000 Q# b111011001010100000000000000 @% b111011001010100000000000000 I% b111011001010100000000000000 ?# b111011001010100000000000000 2% b111011001010100000000000000 b% b11 j$ b10 k$ b111011001010100000000000000 [# b111011001010100000000000000 _$ b111011001010100000000000000 `% 1Z# b111011001010100000000000000 ,% b111 \# b111 ^$ b111 _% b111 R# b111 ?% b111 ]% b11110110000010010110011 V b11110110000010010110011 {" b11110110000010010110011 5# b111 f# b111 H$ b111 z$ b100 g# b100 I$ b100 y$ b10110 b$ b1110110 a$ b1010 `$ b111 f$ b11 o$ b100 p$ b110111 g$ b111 +% 1-% b1 /% b111 0% b11001 7% b0 8% b10011 4% b101000000 U# b101000000 &$ b101000000 <% b101000000 G% b110010000100111000001110010011 T# b110010000100111000001110010011 %$ b110010000100111000001110010011 =% b110010000100111000001110010011 H% 1T b101000100 W b101000100 '# b101000100 3# b11110110000010010110011 ` b11110110000010010110011 $# b11110110000010010110011 <# b11110110000010010110011 T% 1b b111 E# b111 c$ b111 R% 1D# b100111100 I# b100111100 n$ b100111100 N% b111011001010100001110110111 H# b111011001010100001110110111 m$ b111011001010100001110110111 O% b101000000 C# b101000000 6% b101000000 V% b110010000100111000001110010011 B# b110010000100111000001110010011 5% b110010000100111000001110010011 W% b1010110 >$ b101000100 a b101000100 }" b101000100 ;# b101000100 @# b101000100 U% b101000100 Z% 1' #1760000 0' #1770000 b111011001010100001100100001 O# b111011001010100001100100001 B% b111011001010100001100100001 J% b11111111111111111111111111111111 Q# b11111111111111111111111111111111 @% b11111111111111111111111111111111 I% b111011001010100001100100001 ># b111011001010100001100100001 1% b111011001010100001100100001 c% b11111111111111111111111111111111 ?# b11111111111111111111111111111111 2% b11111111111111111111111111111111 b% b100100001010100000100011 V b100100001010100000100011 {" b100100001010100000100011 5# b111 P# b111 A% b111 ^% b10110 R# b10110 ?% b10110 ]% b1001 N# b1001 C% b1001 K% b1 k$ b111011001010100001100100001 [# b111011001010100001100100001 _$ b111011001010100001100100001 `% 1T b101001000 W b101001000 '# b101001000 3# b100100001010100000100011 ` b100100001010100000100011 $# b100100001010100000100011 <# b100100001010100000100011 T% 1b b111 /% b10110 0% b1001 3% b0 7% b110011 4% b101000100 U# b101000100 &$ b101000100 <% b101000100 G% b11110110000010010110011 T# b11110110000010010110011 %$ b11110110000010010110011 =% b11110110000010010110011 H% b111011001010100000000000000 )% b0 g# b0 I$ b0 y$ b111011001010100000000000000 i# b111011001010100000000000000 K$ b111011001010100000000000000 t$ b1 b$ b1100100001 a$ b111 `$ b11001 o$ b0 p$ b10011 g$ b111011001010100001100100001 ,% b101001000 a b101001000 }" b101001000 ;# b101001000 @# b101001000 U% b101001000 Z% b1010111 >$ b101000100 C# b101000100 6% b101000100 V% b11110110000010010110011 B# b11110110000010010110011 5% b11110110000010010110011 W% b111011001010100000000000000 G# b111011001010100000000000000 e$ b111011001010100000000000000 P% b101000000 I# b101000000 n$ b101000000 N% b110010000100111000001110010011 H# b110010000100111000001110010011 m$ b110010000100111000001110010011 O% 1' #1780000 0' #1790000 b111011001010100001100100000 O# b111011001010100001100100000 B% b111011001010100001100100000 J% b10000000010100 Q# b10000000010100 @% b10000000010100 I% b111011001010100001100100000 ># b111011001010100001100100000 1% b111011001010100001100100000 c% b10000000010100 ?# b10000000010100 2% b10000000010100 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b0 j$ b10 k$ b1111100010011010101111001101111100000111011001010100001100100001 h$ b111011001010100001100100000 [# b111011001010100001100100000 _$ b111011001010100001100100000 `% b1001 \# b1001 ^$ b1001 _% 1>% b0 N# b0 C% b0 K% 0M# b1001 P# b1001 A% b1001 ^% b1 R# b1 ?% b1 ]% b10000010010111 V b10000010010111 {" b10000010010111 5# b11101100101010000110010000011111000100110101011110011011111 i$ b111011001010100001100100001 *% b11111111111111111111111111111111 )% b1001 f# b1001 H$ b1001 z$ b111011001010100001100100001 h# b111011001010100001100100001 J$ b111011001010100001100100001 u$ b11111111111111111111111111111111 i# b11111111111111111111111111111111 K$ b11111111111111111111111111111111 t$ b111 b$ b111 a$ b10110 `$ b1001 f$ b0 o$ b110011 g$ b111011001010100001100100000 ,% b1001 +% b1001 /% b1 0% b10000 3% b10 8% b100011 4% b101001000 U# b101001000 &$ b101001000 <% b101001000 G% b100100001010100000100011 T# b100100001010100000100011 %$ b100100001010100000100011 =% b100100001010100000100011 H% 1T b101001100 W b101001100 '# b101001100 3# b10000010010111 ` b10000010010111 $# b10000010010111 <# b10000010010111 T% 1b b111011001010100001100100001 F# b111011001010100001100100001 d$ b111011001010100001100100001 Q% b11111111111111111111111111111111 G# b11111111111111111111111111111111 e$ b11111111111111111111111111111111 P% b1001 E# b1001 c$ b1001 R% b101000100 I# b101000100 n$ b101000100 N% b11110110000010010110011 H# b11110110000010010110011 m$ b11110110000010010110011 O% b101001000 C# b101001000 6% b101001000 V% b100100001010100000100011 B# b100100001010100000100011 5% b100100001010100000100011 W% b1011000 >$ b101001100 a b101001100 }" b101001100 ;# b101001100 @# b101001100 U% b101001100 Z% 1' #1800000 0' #1810000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# 1S b10000000100100 g b10000000100100 !# b10000000100100 =# 1`# 1c 1]# 0Z# b111011001010100001100100000 U b111011001010100001100100000 (# b111011001010100001100100000 4# b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b1 N# b1 C% b1 K% 1M# b1 k$ b1111111111111111111111110001001011000011101100101100000110000000 h$ b111011001010100001100100000 f b111011001010100001100100000 ~" b111011001010100001100100000 :# b111011001010100001100100000 ^# b111011001010100001100100000 '% b10000000100100 a# b10000000100100 $% b10000000100100 _# b10000000100100 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b0 /% b0 0% b1 3% b10111 4% 0>% b101001100 U# b101001100 &$ b101001100 <% b101001100 G% b10000010010111 T# b10000010010111 %$ b10000010010111 =% b10000010010111 H% b111011001010100001100100000 *% b1110110100111100010011010011111010000000 i$ b10000000010100 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b111011001010100001100100000 h# b111011001010100001100100000 J$ b111011001010100001100100000 u$ b10000000010100 i# b10000000010100 K$ b10000000010100 t$ b1001 b$ b1001 a$ b1 `$ b10000 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000000100100 W b10000000100100 '# b10000000100100 3# b1 ` b1 $# b1 <# b1 T% 0b b1011001 >$ b101001100 C# b101001100 6% b101001100 V% b10000010010111 B# b10000010010111 5% b10000010010111 W% b111011001010100001100100000 F# b111011001010100001100100000 d$ b111011001010100001100100000 Q% b10000000010100 G# b10000000010100 e$ b10000000010100 P% b0 E# b0 c$ b0 R% 0D# b101001000 I# b101001000 n$ b101001000 N% b100100001010100000100011 H# b100100001010100000100011 m$ b100100001010100000100011 O% b0 "# 1' #1820000 0' #1830000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b10000101001100 [# b10000101001100 _$ b10000101001100 `% 0c 0]# 0`# 1Z# b1 j$ b0 k$ b0 h$ b10000101001100 ,% 0(% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 g b0 !# b0 =# b1 \# b1 ^$ b1 _% b0 N# b0 C% b0 K% 0M# b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b0 *% b0 i$ b0 )% b1 f# b1 H$ b1 z$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b0 b$ b0 a$ b0 `$ b1 f$ b10111 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b1 +% 1-% b0 3% b0 8% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b1 E# b1 c$ b1 R% 1D# b101001100 I# b101001100 n$ b101001100 N% b10000010010111 H# b10000010010111 m$ b10000010010111 O% b1 B# b1 5% b1 W% b1011010 >$ 1' #1840000 0' #1850000 b0 j$ 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11101101110000001000000010010011 V b11101101110000001000000010010011 {" b11101101110000001000000010010011 5# b0 f# b0 H$ b0 z$ b0 g# b0 I$ b0 y$ b0 f$ b0 p$ b1 g$ b0 ,% b0 +% 0-% b11101101110000001000000010010011 ` b11101101110000001000000010010011 $# b11101101110000001000000010010011 <# b11101101110000001000000010010011 T% 1b b101010000 W b101010000 '# b101010000 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b101010000 a b101010000 }" b101010000 ;# b101010000 @# b101010000 U% b101010000 Z% b1011011 >$ b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b1 "# 1' #1860000 0' #1870000 b10000101001100 Q# b10000101001100 @% b10000101001100 I% b10000101001100 ?# b10000101001100 2% b10000101001100 b% b1 R# b1 ?% b1 ]% b1 N# b1 C% b1 K% 1M# b1101010110111 V b1101010110111 {" b1101010110111 5# b11100 /% b1 0% b1 3% b1110110 7% b10011 4% b101010000 U# b101010000 &$ b101010000 <% b101010000 G% b11101101110000001000000010010011 T# b11101101110000001000000010010011 %$ b11101101110000001000000010010011 =% b11101101110000001000000010010011 H% 1T b101010100 W b101010100 '# b101010100 3# b1101010110111 ` b1101010110111 $# b1101010110111 <# b1101010110111 T% 1b b101010000 C# b101010000 6% b101010000 V% b11101101110000001000000010010011 B# b11101101110000001000000010010011 5% b11101101110000001000000010010011 W% b1011100 >$ b101010100 a b101010100 }" b101010100 ;# b101010100 @# b101010100 U% b101010100 Z% 1' #1880000 0' #1890000 b0 Q# b0 @% b0 I% b0 ?# b0 2% b0 b% b10000000101000 [# b10000000101000 _$ b10000000101000 `% 1Z# b100011010010101000101010010011 V b100011010010101000101010010011 {" b100011010010101000101010010011 5# b0 R# b0 ?% b0 ]% b10101 N# b10101 C% b10101 K% b1 j$ b10000000101000 ,% b1 \# b1 ^$ b1 _% 1T b101011000 W b101011000 '# b101011000 3# b100011010010101000101010010011 ` b100011010010101000101010010011 $# b100011010010101000101010010011 <# b100011010010101000101010010011 T% 1b b0 /% b0 0% b10101 3% b0 7% b1 8% b110111 4% b101010100 U# b101010100 &$ b101010100 <% b101010100 G% b1101010110111 T# b1101010110111 %$ b1101010110111 =% b1101010110111 H% b10000101001100 )% b1 f# b1 H$ b1 z$ b10000101001100 i# b10000101001100 K$ b10000101001100 t$ b11100 b$ b11111111111111111111111011011100 a$ b1 `$ b1 f$ b1110110 o$ b10011 g$ b1 +% 1-% b101011000 a b101011000 }" b101011000 ;# b101011000 @# b101011000 U% b101011000 Z% b1011101 >$ b101010100 C# b101010100 6% b101010100 V% b1101010110111 B# b1101010110111 5% b1101010110111 W% b10000101001100 G# b10000101001100 e$ b10000101001100 P% b1 E# b1 c$ b1 R% 1D# b101010000 I# b101010000 n$ b101010000 N% b11101101110000001000000010010011 H# b11101101110000001000000010010011 m$ b11101101110000001000000010010011 O% 1' #1900000 0' #1910000 b1000000000000 Q# b1000000000000 @% b1000000000000 I% b1000000000000 ?# b1000000000000 2% b1000000000000 b% b1000000000000 [# b1000000000000 _$ b1000000000000 `% b10101 \# b10101 ^$ b10101 _% b10101 R# b10101 ?% b10101 ]% b1001100110111 V b1001100110111 {" b1001100110111 5# b0 )% b10101 f# b10101 H$ b10101 z$ b1 g# b1 I$ b1 y$ b0 i# b0 K$ b0 t$ b0 b$ b0 a$ b0 `$ b10101 f$ b0 o$ b1 p$ b110111 g$ b1000000000000 ,% b10101 +% b10100 /% b10101 0% b10001 7% b0 8% b10011 4% b101011000 U# b101011000 &$ b101011000 <% b101011000 G% b100011010010101000101010010011 T# b100011010010101000101010010011 %$ b100011010010101000101010010011 =% b100011010010101000101010010011 H% 1T b101011100 W b101011100 '# b101011100 3# b1001100110111 ` b1001100110111 $# b1001100110111 <# b1001100110111 T% 1b b0 G# b0 e$ b0 P% b10101 E# b10101 c$ b10101 R% b101010100 I# b101010100 n$ b101010100 N% b1101010110111 H# b1101010110111 m$ b1101010110111 O% b101011000 C# b101011000 6% b101011000 V% b100011010010101000101010010011 B# b100011010010101000101010010011 5% b100011010010101000101010010011 W% b1011110 >$ b101011100 a b101011100 }" b101011100 ;# b101011100 @# b101011100 U% b101011100 Z% 1' #1920000 0' #1930000 b0 Q# b0 @% b0 I% b0 ?# b0 2% b0 b% b10000000000000110000001100010011 V b10000000000000110000001100010011 {" b10000000000000110000001100010011 5# b0 R# b0 ?% b0 ]% b110 N# b110 C% b110 K% b1001000110100 [# b1001000110100 _$ b1001000110100 `% 1T b101100000 W b101100000 '# b101100000 3# b10000000000000110000001100010011 ` b10000000000000110000001100010011 $# b10000000000000110000001100010011 <# b10000000000000110000001100010011 T% 1b b0 /% b0 0% b110 3% b0 7% b1 8% b110111 4% b101011100 U# b101011100 &$ b101011100 <% b101011100 G% b1001100110111 T# b1001100110111 %$ b1001100110111 =% b1001100110111 H% b1000000000000 )% b0 g# b0 I$ b0 y$ b1000000000000 i# b1000000000000 K$ b1000000000000 t$ b10100 b$ b1000110100 a$ b10101 `$ b10001 o$ b0 p$ b10011 g$ b1001000110100 ,% b101100000 a b101100000 }" b101100000 ;# b101100000 @# b101100000 U% b101100000 Z% b1011111 >$ b101011100 C# b101011100 6% b101011100 V% b1001100110111 B# b1001100110111 5% b1001100110111 W% b1000000000000 G# b1000000000000 e$ b1000000000000 P% b101011000 I# b101011000 n$ b101011000 N% b100011010010101000101010010011 H# b100011010010101000101010010011 m$ b100011010010101000101010010011 O% 1' #1940000 0' #1950000 b1000000000000 Q# b1000000000000 @% b1000000000000 I% b1000000000000 ?# b1000000000000 2% b1000000000000 b% b10 j$ b1000000000000 [# b1000000000000 _$ b1000000000000 `% b110 \# b110 ^$ b110 _% b110 R# b110 ?% b110 ]% b11010101000010100110011 V b11010101000010100110011 {" b11010101000010100110011 5# b0 )% b110 f# b110 H$ b110 z$ b1 g# b1 I$ b1 y$ b0 i# b0 K$ b0 t$ b0 b$ b0 a$ b0 `$ b110 f$ b0 o$ b1 p$ b110111 g$ b1000000000000 ,% b110 +% b110 0% b1000000 7% b0 8% b10011 4% b101100000 U# b101100000 &$ b101100000 <% b101100000 G% b10000000000000110000001100010011 T# b10000000000000110000001100010011 %$ b10000000000000110000001100010011 =% b10000000000000110000001100010011 H% 1T b101100100 W b101100100 '# b101100100 3# b11010101000010100110011 ` b11010101000010100110011 $# b11010101000010100110011 <# b11010101000010100110011 T% 1b b0 G# b0 e$ b0 P% b110 E# b110 c$ b110 R% b101011100 I# b101011100 n$ b101011100 N% b1001100110111 H# b1001100110111 m$ b1001100110111 O% b101100000 C# b101100000 6% b101100000 V% b10000000000000110000001100010011 B# b10000000000000110000001100010011 5% b10000000000000110000001100010011 W% b1100000 >$ b101100100 a b101100100 }" b101100100 ;# b101100100 @# b101100100 U% b101100100 Z% 1' #1960000 0' #1970000 b100000000000 O# b100000000000 B% b100000000000 J% b1001000110100 Q# b1001000110100 @% b1001000110100 I% b100000000000 ># b100000000000 1% b100000000000 c% b1001000110100 ?# b1001000110100 2% b1001000110100 b% b101000001010000000100011 V b101000001010000000100011 {" b101000001010000000100011 5# b110 P# b110 A% b110 ^% b10101 R# b10101 ?% b10101 ]% b1010 N# b1010 C% b1010 K% b100000000000 [# b100000000000 _$ b100000000000 `% 1T b101101000 W b101101000 '# b101101000 3# b101000001010000000100011 ` b101000001010000000100011 $# b101000001010000000100011 <# b101000001010000000100011 T% 1b b110 /% b10101 0% b1010 3% b0 7% b110011 4% b101100100 U# b101100100 &$ b101100100 <% b101100100 G% b11010101000010100110011 T# b11010101000010100110011 %$ b11010101000010100110011 =% b11010101000010100110011 H% b1000000000000 )% b0 g# b0 I$ b0 y$ b1000000000000 i# b1000000000000 K$ b1000000000000 t$ b11111111111111111111100000000000 a$ b110 `$ b1000000 o$ b0 p$ b10011 g$ b100000000000 ,% b101101000 a b101101000 }" b101101000 ;# b101101000 @# b101101000 U% b101101000 Z% b1100001 >$ b101100100 C# b101100100 6% b101100100 V% b11010101000010100110011 B# b11010101000010100110011 5% b11010101000010100110011 W% b1000000000000 G# b1000000000000 e$ b1000000000000 P% b101100000 I# b101100000 n$ b101100000 N% b10000000000000110000001100010011 H# b10000000000000110000001100010011 m$ b10000000000000110000001100010011 O% 1' #1980000 0' #1990000 b1101000110100 O# b1101000110100 B% b1101000110100 J% b10000000101000 Q# b10000000101000 @% b10000000101000 I% b1101000110100 ># b1101000110100 1% b1101000110100 c% b10000000101000 ?# b10000000101000 2% b10000000101000 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b10 k$ b1111111111111111111111111111111111111111011011100110000000000000 h$ b1101000110100 [# b1101000110100 _$ b1101000110100 `% b1010 \# b1010 ^$ b1010 _% 1>% b0 N# b0 C% b0 K% 0M# b1010 P# b1010 A% b1010 ^% b1 R# b1 ?% b1 ]% b10000000000000000000101000110111 V b10000000000000000000101000110111 {" b10000000000000000000101000110111 5# b100100011010000000000000 i$ b100000000000 *% b1001000110100 )% b1010 f# b1010 H$ b1010 z$ b100000000000 h# b100000000000 J$ b100000000000 u$ b1001000110100 i# b1001000110100 K$ b1001000110100 t$ b110 b$ b110 a$ b10101 `$ b1010 f$ b0 o$ b110011 g$ b1101000110100 ,% b1010 +% b1010 /% b1 0% b0 3% b10 8% b100011 4% b101101000 U# b101101000 &$ b101101000 <% b101101000 G% b101000001010000000100011 T# b101000001010000000100011 %$ b101000001010000000100011 =% b101000001010000000100011 H% 1T b101101100 W b101101100 '# b101101100 3# b10000000000000000000101000110111 ` b10000000000000000000101000110111 $# b10000000000000000000101000110111 <# b10000000000000000000101000110111 T% 1b b100000000000 F# b100000000000 d$ b100000000000 Q% b1001000110100 G# b1001000110100 e$ b1001000110100 P% b1010 E# b1010 c$ b1010 R% b101100100 I# b101100100 n$ b101100100 N% b11010101000010100110011 H# b11010101000010100110011 m$ b11010101000010100110011 O% b101101000 C# b101101000 6% b101101000 V% b101000001010000000100011 B# b101000001010000000100011 5% b101000001010000000100011 W% b1100010 >$ b101101100 a b101101100 }" b101101100 ;# b101101100 @# b101101100 U% b101101100 Z% 1' #2000000 0' #2010000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# 1S b10000000101000 g b10000000101000 !# b10000000101000 =# 1`# 1c 1]# 0Z# b1101000110100 U b1101000110100 (# b1101000110100 4# b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b10100 N# b10100 C% b10100 K% 1M# b0 j$ b1111111111111111111111111111111111111100101101010110011111100000 h$ b1101000110100 f b1101000110100 ~" b1101000110100 :# b1101000110100 ^# b1101000110100 '% b10000000101000 a# b10000000101000 $% b10000000101000 _# b10000000101000 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b0 /% b0 0% b10100 3% b1000000 7% b0 8% b110111 4% 0>% b101101100 U# b101101100 &$ b101101100 <% b101101100 G% b10000000000000000000101000110111 T# b10000000000000000000101000110111 %$ b10000000000000000000101000110111 =% b10000000000000000000101000110111 H% b1101000110100 *% b11010010101001100000100000 i$ b10000000101000 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b1101000110100 h# b1101000110100 J$ b1101000110100 u$ b10000000101000 i# b10000000101000 K$ b10000000101000 t$ b1010 b$ b1010 a$ b1 `$ b0 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000000101000 W b10000000101000 '# b10000000101000 3# b1 ` b1 $# b1 <# b1 T% 0b b1100011 >$ b101101100 C# b101101100 6% b101101100 V% b10000000000000000000101000110111 B# b10000000000000000000101000110111 5% b10000000000000000000101000110111 W% b1101000110100 F# b1101000110100 d$ b1101000110100 Q% b10000000101000 G# b10000000101000 e$ b10000000101000 P% b0 E# b0 c$ b0 R% 0D# b101101000 I# b101101000 n$ b101101000 N% b101000001010000000100011 H# b101000001010000000100011 m$ b101000001010000000100011 O% b0 "# 1' #2020000 0' #2030000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b10000000000000000000000000000000 [# b10000000000000000000000000000000 _$ b10000000000000000000000000000000 `% 0c 0]# 0`# 1Z# b0 k$ b0 h$ b10000000000000000000000000000000 ,% 0(% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 g b0 !# b0 =# b10100 \# b10100 ^$ b10100 _% b0 N# b0 C% b0 K% 0M# b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b0 *% b0 i$ b0 )% b10100 f# b10100 H$ b10100 z$ b0 g# b0 I$ b0 y$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b0 b$ b11111111111111111111100000000000 a$ b0 `$ b10100 f$ b1000000 o$ b0 p$ b110111 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b10100 +% 1-% b0 3% b0 7% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b10100 E# b10100 c$ b10100 R% 1D# b101101100 I# b101101100 n$ b101101100 N% b10000000000000000000101000110111 H# b10000000000000000000101000110111 m$ b10000000000000000000101000110111 O% b1 B# b1 5% b1 W% b1100100 >$ 1' #2040000 0' #2050000 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b1010010011 V b1010010011 {" b1010010011 5# b0 f# b0 H$ b0 z$ b0 a$ b0 f$ b0 o$ b1 g$ b0 ,% b0 +% 0-% b1010010011 ` b1010010011 $# b1010010011 <# b1010010011 T% 1b b101110000 W b101110000 '# b101110000 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b101110000 a b101110000 }" b101110000 ;# b101110000 @# b101110000 U% b101110000 Z% b1100101 >$ b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b1 "# 1' #2060000 0' #2070000 b101 N# b101 C% b101 K% 1M# b10110100000010110110011 V b10110100000010110110011 {" b10110100000010110110011 5# b101 3% b10011 4% b101110000 U# b101110000 &$ b101110000 <% b101110000 G% b1010010011 T# b1010010011 %$ b1010010011 =% b1010010011 H% 1T b101110100 W b101110100 '# b101110100 3# b10110100000010110110011 ` b10110100000010110110011 $# b10110100000010110110011 <# b10110100000010110110011 T% 1b b101110000 C# b101110000 6% b101110000 V% b1010010011 B# b1010010011 5% b1010010011 W% b1100110 >$ b101110100 a b101110100 }" b101110100 ;# b101110100 @# b101110100 U% b101110100 Z% 1' #2080000 0' #2090000 b10000000000000000000000000000000 Q# b10000000000000000000000000000000 @% b10000000000000000000000000000000 I% b10000000000000000000000000000000 ?# b10000000000000000000000000000000 2% b10000000000000000000000000000000 b% b1 j$ 1Z# b101100001010001000100011 V b101100001010001000100011 {" b101100001010001000100011 5# b101 P# b101 A% b101 ^% b10100 R# b10100 ?% b10100 ]% b1011 N# b1011 C% b1011 K% b101 \# b101 ^$ b101 _% 1T b101111000 W b101111000 '# b101111000 3# b101100001010001000100011 ` b101100001010001000100011 $# b101100001010001000100011 <# b101100001010001000100011 T% 1b b101 /% b10100 0% b1011 3% b110011 4% b101110100 U# b101110100 &$ b101110100 <% b101110100 G% b10110100000010110110011 T# b10110100000010110110011 %$ b10110100000010110110011 =% b10110100000010110110011 H% b101 f# b101 H$ b101 z$ b101 f$ b10011 g$ b101 +% 1-% b101111000 a b101111000 }" b101111000 ;# b101111000 @# b101111000 U% b101111000 Z% b1100111 >$ b101110100 C# b101110100 6% b101110100 V% b10110100000010110110011 B# b10110100000010110110011 5% b10110100000010110110011 W% b101 E# b101 c$ b101 R% 1D# b101110000 I# b101110000 n$ b101110000 N% b1010010011 H# b1010010011 m$ b1010010011 O% 1' #2100000 0' #2110000 b10000000000000000000000000000000 O# b10000000000000000000000000000000 B% b10000000000000000000000000000000 J% b10000000101000 Q# b10000000101000 @% b10000000101000 I% b10000000000000000000000000000000 ># b10000000000000000000000000000000 1% b10000000000000000000000000000000 c% b10000000101000 ?# b10000000101000 2% b10000000101000 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b11 j$ b1 k$ b10000000000000000000000000000000 [# b10000000000000000000000000000000 _$ b10000000000000000000000000000000 `% b1011 \# b1011 ^$ b1011 _% 1>% b0 N# b0 C% b0 K% 0M# b1011 P# b1011 A% b1011 ^% b1 R# b1 ?% b1 ]% b11111111111111111111100110110111 V b11111111111111111111100110110111 {" b11111111111111111111100110110111 5# b10000000000000000000000000000000 )% b1011 f# b1011 H$ b1011 z$ b10000000000000000000000000000000 i# b10000000000000000000000000000000 K$ b10000000000000000000000000000000 t$ b101 b$ b101 a$ b10100 `$ b1011 f$ b110011 g$ b10000000000000000000000000000000 ,% b1011 +% b1011 /% b1 0% b100 3% b10 8% b100011 4% b101111000 U# b101111000 &$ b101111000 <% b101111000 G% b101100001010001000100011 T# b101100001010001000100011 %$ b101100001010001000100011 =% b101100001010001000100011 H% 1T b101111100 W b101111100 '# b101111100 3# b11111111111111111111100110110111 ` b11111111111111111111100110110111 $# b11111111111111111111100110110111 <# b11111111111111111111100110110111 T% 1b b10000000000000000000000000000000 G# b10000000000000000000000000000000 e$ b10000000000000000000000000000000 P% b1011 E# b1011 c$ b1011 R% b101110100 I# b101110100 n$ b101110100 N% b10110100000010110110011 H# b10110100000010110110011 m$ b10110100000010110110011 O% b101111000 C# b101111000 6% b101111000 V% b101100001010001000100011 B# b101100001010001000100011 5% b101100001010001000100011 W% b1101000 >$ b101111100 a b101111100 }" b101111100 ;# b101111100 @# b101111100 U% b101111100 Z% 1' #2120000 0' #2130000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# 1S b10000000101100 g b10000000101100 !# b10000000101100 =# 1`# 1c 1]# 0Z# b10000000000000000000000000000000 U b10000000000000000000000000000000 (# b10000000000000000000000000000000 4# b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b10011 N# b10011 C% b10011 K% 1M# b0 j$ b11 k$ b1111111111111111111011111110110000000000000000000000000000000000 h$ b10000000000000000000000000000000 f b10000000000000000000000000000000 ~" b10000000000000000000000000000000 :# b10000000000000000000000000000000 ^# b10000000000000000000000000000000 '% b10000000101100 a# b10000000101100 $% b10000000101100 _# b10000000101100 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b11111 /% b11111 0% b10011 3% b1111111 7% b111 8% b110111 4% 0>% b101111100 U# b101111100 &$ b101111100 <% b101111100 G% b11111111111111111111100110110111 T# b11111111111111111111100110110111 %$ b11111111111111111111100110110111 =% b11111111111111111111100110110111 H% b100000001010000000000000000000000000000000000 i$ b10000000000000000000000000000000 *% b10000000101000 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b10000000000000000000000000000000 h# b10000000000000000000000000000000 J$ b10000000000000000000000000000000 u$ b10000000101000 i# b10000000101000 K$ b10000000101000 t$ b1011 b$ b1011 a$ b1 `$ b100 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000000101100 W b10000000101100 '# b10000000101100 3# b1 ` b1 $# b1 <# b1 T% 0b b1101001 >$ b101111100 C# b101111100 6% b101111100 V% b11111111111111111111100110110111 B# b11111111111111111111100110110111 5% b11111111111111111111100110110111 W% b10000000000000000000000000000000 F# b10000000000000000000000000000000 d$ b10000000000000000000000000000000 Q% b10000000101000 G# b10000000101000 e$ b10000000101000 P% b0 E# b0 c$ b0 R% 0D# b101111000 I# b101111000 n$ b101111000 N% b101100001010001000100011 H# b101100001010001000100011 m$ b101100001010001000100011 O% b0 "# 1' #2140000 0' #2150000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b11111111111111111111000000000000 [# b11111111111111111111000000000000 _$ b11111111111111111111000000000000 `% 0c 0]# 0`# 1Z# b11 j$ b0 h$ b11111111111111111111000000000000 ,% 0(% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 g b0 !# b0 =# b10011 \# b10011 ^$ b10011 _% b0 N# b0 C% b0 K% 0M# b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b0 *% b0 i$ b0 )% b10011 f# b10011 H$ b10011 z$ b111 g# b111 I$ b111 y$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b11111 b$ b11111111111111111111111111111111 a$ b11111 `$ b10011 f$ b1111111 o$ b111 p$ b110111 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b10011 +% 1-% b0 /% b0 0% b0 3% b0 7% b0 8% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b10011 E# b10011 c$ b10011 R% 1D# b101111100 I# b101111100 n$ b101111100 N% b11111111111111111111100110110111 H# b11111111111111111111100110110111 m$ b11111111111111111111100110110111 O% b1 B# b1 5% b1 W% b1101010 >$ 1' #2160000 0' #2170000 b0 j$ b0 k$ 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11011100110010011000100110010011 V b11011100110010011000100110010011 {" b11011100110010011000100110010011 5# b0 f# b0 H$ b0 z$ b0 g# b0 I$ b0 y$ b0 b$ b0 a$ b0 `$ b0 f$ b0 o$ b0 p$ b1 g$ b0 ,% b0 +% 0-% b11011100110010011000100110010011 ` b11011100110010011000100110010011 $# b11011100110010011000100110010011 <# b11011100110010011000100110010011 T% 1b b110000000 W b110000000 '# b110000000 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b110000000 a b110000000 }" b110000000 ;# b110000000 @# b110000000 U% b110000000 Z% b1101011 >$ b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b1 "# 1' #2180000 0' #2190000 b11111111111111111111000000000000 Q# b11111111111111111111000000000000 @% b11111111111111111111000000000000 I% b11111111111111111111000000000000 ?# b11111111111111111111000000000000 2% b11111111111111111111000000000000 b% b10011 R# b10011 ?% b10011 ]% b10011 N# b10011 C% b10011 K% 1M# b1111111111100000000001000010011 V b1111111111100000000001000010011 {" b1111111111100000000001000010011 5# b1100 /% b10011 0% b10011 3% b1101110 7% b10011 4% b110000000 U# b110000000 &$ b110000000 <% b110000000 G% b11011100110010011000100110010011 T# b11011100110010011000100110010011 %$ b11011100110010011000100110010011 =% b11011100110010011000100110010011 H% 1T b110000100 W b110000100 '# b110000100 3# b1111111111100000000001000010011 ` b1111111111100000000001000010011 $# b1111111111100000000001000010011 <# b1111111111100000000001000010011 T% 1b b110000000 C# b110000000 6% b110000000 V% b11011100110010011000100110010011 B# b11011100110010011000100110010011 5% b11011100110010011000100110010011 W% b1101100 >$ b110000100 a b110000100 }" b110000100 ;# b110000100 @# b110000100 U% b110000100 Z% 1' #2200000 0' #2210000 b0 Q# b0 @% b0 I% b0 ?# b0 2% b0 b% b11111111111111111110110111001100 [# b11111111111111111110110111001100 _$ b11111111111111111110110111001100 `% 1Z# b10010011000011000110011 V b10010011000011000110011 {" b10010011000011000110011 5# b0 R# b0 ?% b0 ]% b100 N# b100 C% b100 K% b11 j$ b11111111111111111110110111001100 ,% b10011 \# b10011 ^$ b10011 _% 1T b110001000 W b110001000 '# b110001000 3# b10010011000011000110011 ` b10010011000011000110011 $# b10010011000011000110011 <# b10010011000011000110011 T% 1b b11111 /% b0 0% b100 3% b111111 7% b110000100 U# b110000100 &$ b110000100 <% b110000100 G% b1111111111100000000001000010011 T# b1111111111100000000001000010011 %$ b1111111111100000000001000010011 =% b1111111111100000000001000010011 H% b11111111111111111111000000000000 )% b10011 f# b10011 H$ b10011 z$ b11111111111111111111000000000000 i# b11111111111111111111000000000000 K$ b11111111111111111111000000000000 t$ b1100 b$ b11111111111111111111110111001100 a$ b10011 `$ b10011 f$ b1101110 o$ b10011 g$ b10011 +% 1-% b110001000 a b110001000 }" b110001000 ;# b110001000 @# b110001000 U% b110001000 Z% b1101101 >$ b110000100 C# b110000100 6% b110000100 V% b1111111111100000000001000010011 B# b1111111111100000000001000010011 5% b1111111111100000000001000010011 W% b11111111111111111111000000000000 G# b11111111111111111111000000000000 e$ b11111111111111111111000000000000 P% b10011 E# b10011 c$ b10011 R% 1D# b110000000 I# b110000000 n$ b110000000 N% b11011100110010011000100110010011 H# b11011100110010011000100110010011 m$ b11011100110010011000100110010011 O% 1' #2220000 0' #2230000 b11111111111 O# b11111111111 B% b11111111111 J% b11111111111111111110110111001100 Q# b11111111111111111110110111001100 @% b11111111111111111110110111001100 I% b11111111111 ># b11111111111 1% b11111111111 c% b11111111111111111110110111001100 ?# b11111111111111111110110111001100 2% b11111111111111111110110111001100 b% b0 j$ b11 k$ b11111111111 [# b11111111111 _$ b11111111111 `% b100 \# b100 ^$ b100 _% b100 P# b100 A% b100 ^% b10011 R# b10011 ?% b10011 ]% b1100 N# b1100 C% b1100 K% b110000001010010000100011 V b110000001010010000100011 {" b110000001010010000100011 5# b0 )% b100 f# b100 H$ b100 z$ b0 i# b0 K$ b0 t$ b11111 b$ b11111111111 a$ b0 `$ b100 f$ b111111 o$ b11111111111 ,% b100 +% b100 /% b10011 0% b1100 3% b0 7% b110011 4% b110001000 U# b110001000 &$ b110001000 <% b110001000 G% b10010011000011000110011 T# b10010011000011000110011 %$ b10010011000011000110011 =% b10010011000011000110011 H% 1T b110001100 W b110001100 '# b110001100 3# b110000001010010000100011 ` b110000001010010000100011 $# b110000001010010000100011 <# b110000001010010000100011 T% 1b b0 G# b0 e$ b0 P% b100 E# b100 c$ b100 R% b110000100 I# b110000100 n$ b110000100 N% b1111111111100000000001000010011 H# b1111111111100000000001000010011 m$ b1111111111100000000001000010011 O% b110001000 C# b110001000 6% b110001000 V% b10010011000011000110011 B# b10010011000011000110011 5% b10010011000011000110011 W% b1101110 >$ b110001100 a b110001100 }" b110001100 ;# b110001100 @# b110001100 U% b110001100 Z% 1' #2240000 0' #2250000 b11111111111111111111010111001011 O# b11111111111111111111010111001011 B% b11111111111111111111010111001011 J% b10000000101000 Q# b10000000101000 @% b10000000101000 I% b11111111111111111111010111001011 ># b11111111111111111111010111001011 1% b11111111111111111111010111001011 c% b10000000101000 ?# b10000000101000 2% b10000000101000 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b11111111111100000000100100010011 V b11111111111100000000100100010011 {" b11111111111100000000100100010011 5# 1>% b0 N# b0 C% b0 K% 0M# b1100 P# b1100 A% b1100 ^% b1 R# b1 ?% b1 ]% b0 k$ b1111111111111111111110000000000100000000100100011000110111001100 h$ b11111111111111111111010111001011 [# b11111111111111111111010111001011 _$ b11111111111111111111010111001011 `% b1100 \# b1100 ^$ b1100 _% 1T b110010000 W b110010000 '# b110010000 3# b11111111111100000000100100010011 ` b11111111111100000000100100010011 $# b11111111111100000000100100010011 <# b11111111111100000000100100010011 T% 1b b1100 /% b1 0% b1000 3% b10 8% b100011 4% b110001100 U# b110001100 &$ b110001100 <% b110001100 G% b110000001010010000100011 T# b110000001010010000100011 %$ b110000001010010000100011 =% b110000001010010000100011 H% b1111111111011111111011011100111001000110100 i$ b11111111111 *% b11111111111111111110110111001100 )% b1100 f# b1100 H$ b1100 z$ b11111111111 h# b11111111111 J$ b11111111111 u$ b11111111111111111110110111001100 i# b11111111111111111110110111001100 K$ b11111111111111111110110111001100 t$ b100 b$ b100 a$ b10011 `$ b1100 f$ b0 o$ b110011 g$ b11111111111111111111010111001011 ,% b1100 +% b110010000 a b110010000 }" b110010000 ;# b110010000 @# b110010000 U% b110010000 Z% b1101111 >$ b110001100 C# b110001100 6% b110001100 V% b110000001010010000100011 B# b110000001010010000100011 5% b110000001010010000100011 W% b11111111111 F# b11111111111 d$ b11111111111 Q% b11111111111111111110110111001100 G# b11111111111111111110110111001100 e$ b11111111111111111110110111001100 P% b1100 E# b1100 c$ b1100 R% b110001000 I# b110001000 n$ b110001000 N% b10010011000011000110011 H# b10010011000011000110011 m$ b10010011000011000110011 O% 1' #2260000 0' #2270000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# b11111111111111111111010111001011 U b11111111111111111111010111001011 (# b11111111111111111111010111001011 4# 1S b10000000110000 g b10000000110000 !# b10000000110000 =# 1`# 1c 1]# 0Z# b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b1111111111111111110111111101100000000001010010000011100001001000 h$ b11111111111111111111010111001011 f b11111111111111111111010111001011 ~" b11111111111111111111010111001011 :# b11111111111111111111010111001011 ^# b11111111111111111111010111001011 '% b10000000110000 a# b10000000110000 $% b10000000110000 _# b10000000110000 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b10010 N# b10010 C% b10010 K% 1M# b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000000110000 W b10000000110000 '# b10000000110000 3# b1 ` b1 $# b1 <# b1 T% 0b b11111111111111111111010111001011 *% b1000000010011111111110101101111100011110111000 i$ b10000000101000 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b11111111111111111111010111001011 h# b11111111111111111111010111001011 J$ b11111111111111111111010111001011 u$ b10000000101000 i# b10000000101000 K$ b10000000101000 t$ b1100 b$ b1100 a$ b1 `$ b1000 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b11111 /% b0 0% b10010 3% b1111111 7% b0 8% b10011 4% 0>% b110010000 U# b110010000 &$ b110010000 <% b110010000 G% b11111111111100000000100100010011 T# b11111111111100000000100100010011 %$ b11111111111100000000100100010011 =% b11111111111100000000100100010011 H% b0 "# b11111111111111111111010111001011 F# b11111111111111111111010111001011 d$ b11111111111111111111010111001011 Q% b10000000101000 G# b10000000101000 e$ b10000000101000 P% b0 E# b0 c$ b0 R% 0D# b110001100 I# b110001100 n$ b110001100 N% b110000001010010000100011 H# b110000001010010000100011 m$ b110000001010010000100011 O% b110010000 C# b110010000 6% b110010000 V% b11111111111100000000100100010011 B# b11111111111100000000100100010011 5% b11111111111100000000100100010011 W% b1110000 >$ 1' #2280000 0' #2290000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b11111111111111111111111111111111 [# b11111111111111111111111111111111 _$ b11111111111111111111111111111111 `% 0c 0]# 0`# 1Z# b0 N# b0 C% b0 K% 0M# b10 j$ b11 k$ b0 h$ b11111111111111111111111111111111 ,% 0(% b0 g b0 !# b0 =# b10010 \# b10010 ^$ b10010 _% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 /% b0 3% b0 7% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 *% b0 i$ b0 )% b10010 f# b10010 H$ b10010 z$ b0 g# b0 I$ b0 y$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b11111 b$ b11111111111111111111111111111111 a$ b0 `$ b10010 f$ b1111111 o$ b0 p$ b10011 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b10010 +% 1-% b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b1110001 >$ b1 B# b1 5% b1 W% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b10010 E# b10010 c$ b10010 R% 1D# b110010000 I# b110010000 n$ b110010000 N% b11111111111100000000100100010011 H# b11111111111100000000100100010011 m$ b11111111111100000000100100010011 O% 1' #2300000 0' #2310000 b0 j$ b0 k$ 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11111111111100000000000110010011 V b11111111111100000000000110010011 {" b11111111111100000000000110010011 5# b0 f# b0 H$ b0 z$ b0 b$ b0 a$ b0 f$ b0 o$ b1 g$ b0 ,% b0 +% 0-% b11111111111100000000000110010011 ` b11111111111100000000000110010011 $# b11111111111100000000000110010011 <# b11111111111100000000000110010011 T% 1b b110010100 W b110010100 '# b110010100 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1 "# b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b1110010 >$ b110010100 a b110010100 }" b110010100 ;# b110010100 @# b110010100 U% b110010100 Z% 1' #2320000 0' #2330000 b1110010000011010110011 V b1110010000011010110011 {" b1110010000011010110011 5# b11 N# b11 C% b11 K% 1M# 1T b110011000 W b110011000 '# b110011000 3# b1110010000011010110011 ` b1110010000011010110011 $# b1110010000011010110011 <# b1110010000011010110011 T% 1b b11111 /% b11 3% b1111111 7% b10011 4% b110010100 U# b110010100 &$ b110010100 <% b110010100 G% b11111111111100000000000110010011 T# b11111111111100000000000110010011 %$ b11111111111100000000000110010011 =% b11111111111100000000000110010011 H% b110011000 a b110011000 }" b110011000 ;# b110011000 @# b110011000 U% b110011000 Z% b1110011 >$ b110010100 C# b110010100 6% b110010100 V% b11111111111100000000000110010011 B# b11111111111100000000000110010011 5% b11111111111100000000000110010011 W% 1' #2340000 0' #2350000 b11111111111111111111111111111111 O# b11111111111111111111111111111111 B% b11111111111111111111111111111111 J% b11111111111111111111111111111111 Q# b11111111111111111111111111111111 @% b11111111111111111111111111111111 I% b11111111111111111111111111111111 ># b11111111111111111111111111111111 1% b11111111111111111111111111111111 c% b11111111111111111111111111111111 ?# b11111111111111111111111111111111 2% b11111111111111111111111111111111 b% b11 j$ b11 k$ b11111111111111111111111111111111 [# b11111111111111111111111111111111 _$ b11111111111111111111111111111111 `% 1Z# b11111111111111111111111111111111 ,% b11 \# b11 ^$ b11 _% b11 P# b11 A% b11 ^% b10010 R# b10010 ?% b10010 ]% b1101 N# b1101 C% b1101 K% b110100001010011000100011 V b110100001010011000100011 {" b110100001010011000100011 5# b11 f# b11 H$ b11 z$ b11111 b$ b11111111111111111111111111111111 a$ b11 f$ b1111111 o$ b10011 g$ b11 +% 1-% b11 /% b10010 0% b1101 3% b0 7% b110011 4% b110011000 U# b110011000 &$ b110011000 <% b110011000 G% b1110010000011010110011 T# b1110010000011010110011 %$ b1110010000011010110011 =% b1110010000011010110011 H% 1T b110011100 W b110011100 '# b110011100 3# b110100001010011000100011 ` b110100001010011000100011 $# b110100001010011000100011 <# b110100001010011000100011 T% 1b b11 E# b11 c$ b11 R% 1D# b110010100 I# b110010100 n$ b110010100 N% b11111111111100000000000110010011 H# b11111111111100000000000110010011 m$ b11111111111100000000000110010011 O% b110011000 C# b110011000 6% b110011000 V% b1110010000011010110011 B# b1110010000011010110011 5% b1110010000011010110011 W% b1110100 >$ b110011100 a b110011100 }" b110011100 ;# b110011100 @# b110011100 U% b110011100 Z% 1' #2360000 0' #2370000 b11111111111111111111111111111110 O# b11111111111111111111111111111110 B% b11111111111111111111111111111110 J% b10000000101000 Q# b10000000101000 @% b10000000101000 I% b11111111111111111111111111111110 ># b11111111111111111111111111111110 1% b11111111111111111111111111111110 c% b10000000101000 ?# b10000000101000 2% b10000000101000 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b10000000000100000000100010010011 V b10000000000100000000100010010011 {" b10000000000100000000100010010011 5# 1>% b0 N# b0 C% b0 K% 0M# b1101 P# b1101 A% b1101 ^% b1 R# b1 ?% b1 ]% b0 j$ b10 k$ b111111111111111111111111111111111 h$ b11111111111111111111111111111110 [# b11111111111111111111111111111110 _$ b11111111111111111111111111111110 `% b1101 \# b1101 ^$ b1101 _% 1T b110100000 W b110100000 '# b110100000 3# b10000000000100000000100010010011 ` b10000000000100000000100010010011 $# b10000000000100000000100010010011 <# b10000000000100000000100010010011 T% 1b b1101 /% b1 0% b1100 3% b10 8% b100011 4% b110011100 U# b110011100 &$ b110011100 <% b110011100 G% b110100001010011000100011 T# b110100001010011000100011 %$ b110100001010011000100011 =% b110100001010011000100011 H% b1111111111111111111111111111111000000000000000000000000000000001 i$ b11111111111111111111111111111111 *% b11111111111111111111111111111111 )% b1101 f# b1101 H$ b1101 z$ b11111111111111111111111111111111 h# b11111111111111111111111111111111 J$ b11111111111111111111111111111111 u$ b11111111111111111111111111111111 i# b11111111111111111111111111111111 K$ b11111111111111111111111111111111 t$ b11 b$ b11 a$ b10010 `$ b1101 f$ b0 o$ b110011 g$ b11111111111111111111111111111110 ,% b1101 +% b110100000 a b110100000 }" b110100000 ;# b110100000 @# b110100000 U% b110100000 Z% b11111111111111111111111111111111 & b1110101 >$ b110011100 C# b110011100 6% b110011100 V% b110100001010011000100011 B# b110100001010011000100011 5% b110100001010011000100011 W% b11111111111111111111111111111111 F# b11111111111111111111111111111111 d$ b11111111111111111111111111111111 Q% b11111111111111111111111111111111 G# b11111111111111111111111111111111 e$ b11111111111111111111111111111111 P% b1101 E# b1101 c$ b1101 R% b110011000 I# b110011000 n$ b110011000 N% b1110010000011010110011 H# b1110010000011010110011 m$ b1110010000011010110011 O% 1' #2380000 0' #2390000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# b11111111111111111111111111111110 U b11111111111111111111111111111110 (# b11111111111111111111111111111110 4# 1S b10000000110100 g b10000000110100 !# b10000000110100 =# 1`# 1c 1]# 0Z# b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b1 k$ b1111111111111111110111111101100000000000000000000100000001010000 h$ b11111111111111111111111111111110 f b11111111111111111111111111111110 ~" b11111111111111111111111111111110 :# b11111111111111111111111111111110 ^# b11111111111111111111111111111110 '% b10000000110100 a# b10000000110100 $% b10000000110100 _# b10000000110100 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b10001 N# b10001 C% b10001 K% 1M# b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000000110100 W b10000000110100 '# b10000000110100 3# b1 ` b1 $# b1 <# b1 T% 0b b11111111111111111111111111111110 *% b1000000010011111111111111111111011111110110000 i$ b10000000101000 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b11111111111111111111111111111110 h# b11111111111111111111111111111110 J$ b11111111111111111111111111111110 u$ b10000000101000 i# b10000000101000 K$ b10000000101000 t$ b1101 b$ b1101 a$ b1 `$ b1100 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b1 /% b0 0% b10001 3% b1000000 7% b0 8% b10011 4% 0>% b110100000 U# b110100000 &$ b110100000 <% b110100000 G% b10000000000100000000100010010011 T# b10000000000100000000100010010011 %$ b10000000000100000000100010010011 =% b10000000000100000000100010010011 H% b0 "# b11111111111111111111111111111110 F# b11111111111111111111111111111110 d$ b11111111111111111111111111111110 Q% b10000000101000 G# b10000000101000 e$ b10000000101000 P% b0 E# b0 c$ b0 R% 0D# b110011100 I# b110011100 n$ b110011100 N% b110100001010011000100011 H# b110100001010011000100011 m$ b110100001010011000100011 O% b110100000 C# b110100000 6% b110100000 V% b10000000000100000000100010010011 B# b10000000000100000000100010010011 5% b10000000000100000000100010010011 W% b1110110 >$ 1' #2400000 0' #2410000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b11111111111111111111100000000001 [# b11111111111111111111100000000001 _$ b11111111111111111111100000000001 `% 0c 0]# 0`# 1Z# b0 N# b0 C% b0 K% 0M# b1 j$ b0 h$ b11111111111111111111100000000001 ,% 0(% b0 g b0 !# b0 =# b10001 \# b10001 ^$ b10001 _% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 /% b0 3% b0 7% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 *% b0 i$ b0 )% b10001 f# b10001 H$ b10001 z$ b0 g# b0 I$ b0 y$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b1 b$ b11111111111111111111100000000001 a$ b0 `$ b10001 f$ b1000000 o$ b0 p$ b10011 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b10001 +% 1-% b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b1110111 >$ b1 B# b1 5% b1 W% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b10001 E# b10001 c$ b10001 R% 1D# b110100000 I# b110100000 n$ b110100000 N% b10000000000100000000100010010011 H# b10000000000100000000100010010011 m$ b10000000000100000000100010010011 O% 1' #2420000 0' #2430000 b0 j$ b0 k$ 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b100000000000100010011 V b100000000000100010011 {" b100000000000100010011 5# b0 f# b0 H$ b0 z$ b0 b$ b0 a$ b0 f$ b0 o$ b1 g$ b0 ,% b0 +% 0-% b100000000000100010011 ` b100000000000100010011 $# b100000000000100010011 <# b100000000000100010011 T% 1b b110100100 W b110100100 '# b110100100 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1 "# b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b1111000 >$ b110100100 a b110100100 }" b110100100 ;# b110100100 @# b110100100 U% b110100100 Z% 1' #2440000 0' #2450000 b1010001000011100110011 V b1010001000011100110011 {" b1010001000011100110011 5# b10 N# b10 C% b10 K% 1M# 1T b110101000 W b110101000 '# b110101000 3# b1010001000011100110011 ` b1010001000011100110011 $# b1010001000011100110011 <# b1010001000011100110011 T% 1b b1 /% b10 3% b10011 4% b110100100 U# b110100100 &$ b110100100 <% b110100100 G% b100000000000100010011 T# b100000000000100010011 %$ b100000000000100010011 =% b100000000000100010011 H% b110101000 a b110101000 }" b110101000 ;# b110101000 @# b110101000 U% b110101000 Z% b1111001 >$ b110100100 C# b110100100 6% b110100100 V% b100000000000100010011 B# b100000000000100010011 5% b100000000000100010011 W% 1' #2460000 0' #2470000 b1 O# b1 B% b1 J% b11111111111111111111100000000001 Q# b11111111111111111111100000000001 @% b11111111111111111111100000000001 I% b1 ># b1 1% b1 c% b11111111111111111111100000000001 ?# b11111111111111111111100000000001 2% b11111111111111111111100000000001 b% b10 j$ b1 k$ b1 [# b1 _$ b1 `% 1Z# b1 ,% b10 \# b10 ^$ b10 _% b10 P# b10 A% b10 ^% b10001 R# b10001 ?% b10001 ]% b1110 N# b1110 C% b1110 K% b111000001010100000100011 V b111000001010100000100011 {" b111000001010100000100011 5# b10 f# b10 H$ b10 z$ b1 b$ b1 a$ b10 f$ b10011 g$ b10 +% 1-% b10 /% b10001 0% b1110 3% b110011 4% b110101000 U# b110101000 &$ b110101000 <% b110101000 G% b1010001000011100110011 T# b1010001000011100110011 %$ b1010001000011100110011 =% b1010001000011100110011 H% 1T b110101100 W b110101100 '# b110101100 3# b111000001010100000100011 ` b111000001010100000100011 $# b111000001010100000100011 <# b111000001010100000100011 T% 1b b10 E# b10 c$ b10 R% 1D# b110100100 I# b110100100 n$ b110100100 N% b100000000000100010011 H# b100000000000100010011 m$ b100000000000100010011 O% b110101000 C# b110101000 6% b110101000 V% b1010001000011100110011 B# b1010001000011100110011 5% b1010001000011100110011 W% b1111010 >$ b110101100 a b110101100 }" b110101100 ;# b110101100 @# b110101100 U% b110101100 Z% 1' #2480000 0' #2490000 b11111111111111111111100000000010 O# b11111111111111111111100000000010 B% b11111111111111111111100000000010 J% b10000000101000 Q# b10000000101000 @% b10000000101000 I% b11111111111111111111100000000010 ># b11111111111111111111100000000010 1% b11111111111111111111100000000010 c% b10000000101000 ?# b10000000101000 2% b10000000101000 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b10000100010111 V b10000100010111 {" b10000100010111 5# 1>% b0 N# b0 C% b0 K% 0M# b1110 P# b1110 A% b1110 ^% b1 R# b1 ?% b1 ]% b11 j$ b11 k$ b1111111111111111111111111111111100000000000000000000011111111111 h$ b11111111111111111111100000000010 [# b11111111111111111111100000000010 _$ b11111111111111111111100000000010 `% b1110 \# b1110 ^$ b1110 _% 1T b110110000 W b110110000 '# b110110000 3# b10000100010111 ` b10000100010111 $# b10000100010111 <# b10000100010111 T% 1b b1110 /% b1 0% b10000 3% b10 8% b100011 4% b110101100 U# b110101100 &$ b110101100 <% b110101100 G% b111000001010100000100011 T# b111000001010100000100011 %$ b111000001010100000100011 =% b111000001010100000100011 H% b11111111111111111111100000000001 i$ b1 *% b11111111111111111111100000000001 )% b1110 f# b1110 H$ b1110 z$ b1 h# b1 J$ b1 u$ b11111111111111111111100000000001 i# b11111111111111111111100000000001 K$ b11111111111111111111100000000001 t$ b10 b$ b10 a$ b10001 `$ b1110 f$ b110011 g$ b11111111111111111111100000000010 ,% b1110 +% b110110000 a b110110000 }" b110110000 ;# b110110000 @# b110110000 U% b110110000 Z% b1111011 >$ b110101100 C# b110101100 6% b110101100 V% b111000001010100000100011 B# b111000001010100000100011 5% b111000001010100000100011 W% b1 F# b1 d$ b1 Q% b11111111111111111111100000000001 G# b11111111111111111111100000000001 e$ b11111111111111111111100000000001 P% b1110 E# b1110 c$ b1110 R% b110101000 I# b110101000 n$ b110101000 N% b1010001000011100110011 H# b1010001000011100110011 m$ b1010001000011100110011 O% 1' #2500000 0' #2510000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# b11111111111111111111100000000010 U b11111111111111111111100000000010 (# b11111111111111111111100000000010 4# 1S b10000000111000 g b10000000111000 !# b10000000111000 =# 1`# 1c 1]# 0Z# b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b0 j$ b10 k$ b1111111111111111110111111101100000000001000000001111111110110000 h$ b11111111111111111111100000000010 f b11111111111111111111100000000010 ~" b11111111111111111111100000000010 :# b11111111111111111111100000000010 ^# b11111111111111111111100000000010 '% b10000000111000 a# b10000000111000 $% b10000000111000 _# b10000000111000 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b10 N# b10 C% b10 K% 1M# b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000000111000 W b10000000111000 '# b10000000111000 3# b1 ` b1 $# b1 <# b1 T% 0b b11111111111111111111100000000010 *% b1000000010011111111110111111110000000001010000 i$ b10000000101000 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b11111111111111111111100000000010 h# b11111111111111111111100000000010 J$ b11111111111111111111100000000010 u$ b10000000101000 i# b10000000101000 K$ b10000000101000 t$ b1110 b$ b1110 a$ b1 `$ b10000 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b0 /% b0 0% b10 3% b10111 4% 0>% b110110000 U# b110110000 &$ b110110000 <% b110110000 G% b10000100010111 T# b10000100010111 %$ b10000100010111 =% b10000100010111 H% b0 "# b11111111111111111111100000000010 F# b11111111111111111111100000000010 d$ b11111111111111111111100000000010 Q% b10000000101000 G# b10000000101000 e$ b10000000101000 P% b0 E# b0 c$ b0 R% 0D# b110101100 I# b110101100 n$ b110101100 N% b111000001010100000100011 H# b111000001010100000100011 m$ b111000001010100000100011 O% b110110000 C# b110110000 6% b110110000 V% b10000100010111 B# b10000100010111 5% b10000100010111 W% b1111100 >$ 1' #2520000 0' #2530000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b10000110110000 [# b10000110110000 _$ b10000110110000 `% 0c 0]# 0`# 1Z# b0 N# b0 C% b0 K% 0M# b10 j$ b0 k$ b0 h$ b10000110110000 ,% 0(% b0 g b0 !# b0 =# b10 \# b10 ^$ b10 _% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 3% b0 8% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 *% b0 i$ b0 )% b10 f# b10 H$ b10 z$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b0 b$ b0 a$ b0 `$ b10 f$ b10111 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b10 +% 1-% b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b1111101 >$ b1 B# b1 5% b1 W% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b10 E# b10 c$ b10 R% 1D# b110110000 I# b110110000 n$ b110110000 N% b10000100010111 H# b10000100010111 m$ b10000100010111 O% 1' #2540000 0' #2550000 b0 j$ 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11101000110000010000000100010011 V b11101000110000010000000100010011 {" b11101000110000010000000100010011 5# b0 f# b0 H$ b0 z$ b0 g# b0 I$ b0 y$ b0 f$ b0 p$ b1 g$ b0 ,% b0 +% 0-% b11101000110000010000000100010011 ` b11101000110000010000000100010011 $# b11101000110000010000000100010011 <# b11101000110000010000000100010011 T% 1b b110110100 W b110110100 '# b110110100 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1 "# b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b1111110 >$ b110110100 a b110110100 }" b110110100 ;# b110110100 @# b110110100 U% b110110100 Z% 1' #2560000 0' #2570000 b10000110110000 Q# b10000110110000 @% b10000110110000 I% b10000110110000 ?# b10000110110000 2% b10000110110000 b% b100000010011 V b100000010011 {" b100000010011 5# b10 R# b10 ?% b10 ]% b10 N# b10 C% b10 K% 1M# 1T b110111000 W b110111000 '# b110111000 3# b100000010011 ` b100000010011 $# b100000010011 <# b100000010011 T% 1b b1100 /% b10 0% b10 3% b1110100 7% b10011 4% b110110100 U# b110110100 &$ b110110100 <% b110110100 G% b11101000110000010000000100010011 T# b11101000110000010000000100010011 %$ b11101000110000010000000100010011 =% b11101000110000010000000100010011 H% b110111000 a b110111000 }" b110111000 ;# b110111000 @# b110111000 U% b110111000 Z% b1111111 >$ b110110100 C# b110110100 6% b110110100 V% b11101000110000010000000100010011 B# b11101000110000010000000100010011 5% b11101000110000010000000100010011 W% 1' #2580000 0' #2590000 b0 Q# b0 @% b0 I% b0 ?# b0 2% b0 b% b10000000111100 [# b10000000111100 _$ b10000000111100 `% 1Z# b10 j$ b10000000111100 ,% b10 \# b10 ^$ b10 _% b0 R# b0 ?% b0 ]% b10000 N# b10000 C% b10000 K% b10010011 V b10010011 {" b10010011 5# b10000110110000 )% b10 f# b10 H$ b10 z$ b10000110110000 i# b10000110110000 K$ b10000110110000 t$ b1100 b$ b11111111111111111111111010001100 a$ b10 `$ b10 f$ b1110100 o$ b10011 g$ b10 +% 1-% b0 /% b0 0% b10000 3% b0 7% b110111000 U# b110111000 &$ b110111000 <% b110111000 G% b100000010011 T# b100000010011 %$ b100000010011 =% b100000010011 H% 1T b110111100 W b110111100 '# b110111100 3# b10010011 ` b10010011 $# b10010011 <# b10010011 T% 1b b10000110110000 G# b10000110110000 e$ b10000110110000 P% b10 E# b10 c$ b10 R% 1D# b110110100 I# b110110100 n$ b110110100 N% b11101000110000010000000100010011 H# b11101000110000010000000100010011 m$ b11101000110000010000000100010011 O% b110111000 C# b110111000 6% b110111000 V% b100000010011 B# b100000010011 5% b100000010011 W% b10000000 >$ b110111100 a b110111100 }" b110111100 ;# b110111100 @# b110111100 U% b110111100 Z% 1' #2600000 0' #2610000 b110000000011110110011 V b110000000011110110011 {" b110000000011110110011 5# b1 N# b1 C% b1 K% b0 j$ b0 [# b0 _$ b0 `% b10000 \# b10000 ^$ b10000 _% 1T b111000000 W b111000000 '# b111000000 3# b110000000011110110011 ` b110000000011110110011 $# b110000000011110110011 <# b110000000011110110011 T% 1b b1 3% b110111100 U# b110111100 &$ b110111100 <% b110111100 G% b10010011 T# b10010011 %$ b10010011 =% b10010011 H% b0 )% b10000 f# b10000 H$ b10000 z$ b0 i# b0 K$ b0 t$ b0 b$ b0 a$ b0 `$ b10000 f$ b0 o$ b0 ,% b10000 +% b111000000 a b111000000 }" b111000000 ;# b111000000 @# b111000000 U% b111000000 Z% b10000001 >$ b110111100 C# b110111100 6% b110111100 V% b10010011 B# b10010011 5% b10010011 W% b0 G# b0 e$ b0 P% b10000 E# b10000 c$ b10000 R% b110111000 I# b110111000 n$ b110111000 N% b100000010011 H# b100000010011 m$ b100000010011 O% 1' #2620000 0' #2630000 b1 j$ b1 \# b1 ^$ b1 _% b1 P# b1 A% b1 ^% b10000 R# b10000 ?% b10000 ]% b1111 N# b1111 C% b1111 K% b111100010010000000100011 V b111100010010000000100011 {" b111100010010000000100011 5# b1 f# b1 H$ b1 z$ b1 f$ b1 +% b1 /% b10000 0% b1111 3% b110011 4% b111000000 U# b111000000 &$ b111000000 <% b111000000 G% b110000000011110110011 T# b110000000011110110011 %$ b110000000011110110011 =% b110000000011110110011 H% 1T b111000100 W b111000100 '# b111000100 3# b111100010010000000100011 ` b111100010010000000100011 $# b111100010010000000100011 <# b111100010010000000100011 T% 1b b1 E# b1 c$ b1 R% b110111100 I# b110111100 n$ b110111100 N% b10010011 H# b10010011 m$ b10010011 O% b111000000 C# b111000000 6% b111000000 V% b110000000011110110011 B# b110000000011110110011 5% b110000000011110110011 W% b10000010 >$ b111000100 a b111000100 }" b111000100 ;# b111000100 @# b111000100 U% b111000100 Z% 1' #2640000 0' #2650000 b10000000111100 Q# b10000000111100 @% b10000000111100 I% b0 ># b0 1% b0 c% b10000000111100 ?# b10000000111100 2% b10000000111100 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b11 j$ b1 k$ b11111111111100000000011110010011 V b11111111111100000000011110010011 {" b11111111111100000000011110010011 5# 1>% b0 N# b0 C% b0 K% 0M# b1111 P# b1111 A% b1111 ^% b10 R# b10 ?% b10 ]% b0 [# b0 _$ b0 `% b1111 \# b1111 ^$ b1111 _% 1T b111001000 W b111001000 '# b111001000 3# b11111111111100000000011110010011 ` b11111111111100000000011110010011 $# b11111111111100000000011110010011 <# b11111111111100000000011110010011 T% 1b b1111 /% b10 0% b0 3% b10 8% b100011 4% b111000100 U# b111000100 &$ b111000100 <% b111000100 G% b111100010010000000100011 T# b111100010010000000100011 %$ b111100010010000000100011 =% b111100010010000000100011 H% b1111 f# b1111 H$ b1111 z$ b1 b$ b1 a$ b10000 `$ b1111 f$ b110011 g$ b0 ,% b1111 +% b111001000 a b111001000 }" b111001000 ;# b111001000 @# b111001000 U% b111001000 Z% b10000011 >$ b111000100 C# b111000100 6% b111000100 V% b111100010010000000100011 B# b111100010010000000100011 5% b111100010010000000100011 W% b1111 E# b1111 c$ b1111 R% b111000000 I# b111000000 n$ b111000000 N% b110000000011110110011 H# b110000000011110110011 m$ b110000000011110110011 O% 1' #2660000 0' #2670000 b0 Q# b0 @% b0 I% b0 ?# b0 2% b0 b% 0S# 1S b10000000111100 g b10000000111100 !# b10000000111100 =# 1`# 1c 1]# 0Z# b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b0 j$ b11 k$ b10000000111100 a# b10000000111100 $% b10000000111100 _# b10000000111100 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b1111 N# b1111 C% b1111 K% 1M# b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000000111100 W b10000000111100 '# b10000000111100 3# b1 ` b1 $# b1 <# b1 T% 0b b10000000111100 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b10000000111100 i# b10000000111100 K$ b10000000111100 t$ b1111 b$ b1111 a$ b10 `$ b0 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b11111 /% b0 0% b1111 3% b1111111 7% b0 8% b10011 4% 0>% b111001000 U# b111001000 &$ b111001000 <% b111001000 G% b11111111111100000000011110010011 T# b11111111111100000000011110010011 %$ b11111111111100000000011110010011 =% b11111111111100000000011110010011 H% b0 "# b10000000111100 G# b10000000111100 e$ b10000000111100 P% b0 E# b0 c$ b0 R% 0D# b111000100 I# b111000100 n$ b111000100 N% b111100010010000000100011 H# b111100010010000000100011 m$ b111100010010000000100011 O% b111001000 C# b111001000 6% b111001000 V% b11111111111100000000011110010011 B# b11111111111100000000011110010011 5% b11111111111100000000011110010011 W% b10000100 >$ 1' #2680000 0' #2690000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b11111111111111111111111111111111 [# b11111111111111111111111111111111 _$ b11111111111111111111111111111111 `% 0c 0]# 0`# 1Z# b0 N# b0 C% b0 K% 0M# b11 j$ b11111111111111111111111111111111 ,% 0(% b0 g b0 !# b0 =# b1111 \# b1111 ^$ b1111 _% 0S 0T b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 /% b0 3% b0 7% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 )% b1111 f# b1111 H$ b1111 z$ b0 g# b0 I$ b0 y$ b0 i# b0 K$ b0 t$ b11111 b$ b11111111111111111111111111111111 a$ b0 `$ b1111 f$ b1111111 o$ b0 p$ b10011 g$ b0 a# b0 $% b0 _# b0 &% 0%% b1111 +% 1-% b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b10000101 >$ b1 B# b1 5% b1 W% b0 G# b0 e$ b0 P% b1111 E# b1111 c$ b1111 R% 1D# b111001000 I# b111001000 n$ b111001000 N% b11111111111100000000011110010011 H# b11111111111100000000011110010011 m$ b11111111111100000000011110010011 O% 1' #2700000 0' #2710000 b0 j$ b0 k$ 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b10011 V b10011 {" b10011 5# b0 f# b0 H$ b0 z$ b0 b$ b0 a$ b0 f$ b0 o$ b1 g$ b0 ,% b0 +% 0-% b10011 ` b10011 $# b10011 <# b10011 T% 1b b111001100 W b111001100 '# b111001100 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1 "# b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b10000110 >$ b111001100 a b111001100 }" b111001100 ;# b111001100 @# b111001100 U% b111001100 Z% 1' #2720000 0' #2730000 b1111000100000110011 V b1111000100000110011 {" b1111000100000110011 5# 1M# 1T b111010000 W b111010000 '# b111010000 3# b1111000100000110011 ` b1111000100000110011 $# b1111000100000110011 <# b1111000100000110011 T% 1b b10011 4% b111001100 U# b111001100 &$ b111001100 <% b111001100 G% b10011 T# b10011 %$ b10011 =% b10011 H% b111010000 a b111010000 }" b111010000 ;# b111010000 @# b111010000 U% b111010000 Z% b10000111 >$ b111001100 C# b111001100 6% b111001100 V% b10011 B# b10011 5% b10011 W% 1' #2740000 0' #2750000 b11111111111111111111111111111111 Q# b11111111111111111111111111111111 @% b11111111111111111111111111111111 I% b11111111111111111111111111111111 ?# b11111111111111111111111111111111 2% b11111111111111111111111111111111 b% 1Z# b1111 R# b1111 ?% b1111 ]% b10000 N# b10000 C% b10000 K% b1000000010010001000100011 V b1000000010010001000100011 {" b1000000010010001000100011 5# b10011 g$ 1-% b1111 0% b10000 3% b110011 4% b111010000 U# b111010000 &$ b111010000 <% b111010000 G% b1111000100000110011 T# b1111000100000110011 %$ b1111000100000110011 =% b1111000100000110011 H% 1T b111010100 W b111010100 '# b111010100 3# b1000000010010001000100011 ` b1000000010010001000100011 $# b1000000010010001000100011 <# b1000000010010001000100011 T% 1b 1D# b111001100 I# b111001100 n$ b111001100 N% b10011 H# b10011 m$ b10011 O% b111010000 C# b111010000 6% b111010000 V% b1111000100000110011 B# b1111000100000110011 5% b1111000100000110011 W% b10001000 >$ b111010100 a b111010100 }" b111010100 ;# b111010100 @# b111010100 U% b111010100 Z% 1' #2760000 0' #2770000 b11111111111111111111111111111111 O# b11111111111111111111111111111111 B% b11111111111111111111111111111111 J% b10000000111100 Q# b10000000111100 @% b10000000111100 I% b11111111111111111111111111111111 ># b11111111111111111111111111111111 1% b11111111111111111111111111111111 c% b10000000111100 ?# b10000000111100 2% b10000000111100 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b100000000011100010011 V b100000000011100010011 {" b100000000011100010011 5# 1>% b0 N# b0 C% b0 K% 0M# b10000 P# b10000 A% b10000 ^% b10 R# b10 ?% b10 ]% b11 j$ b11 k$ b11111111111111111111111111111111 [# b11111111111111111111111111111111 _$ b11111111111111111111111111111111 `% b10000 \# b10000 ^$ b10000 _% 1T b111011000 W b111011000 '# b111011000 3# b100000000011100010011 ` b100000000011100010011 $# b100000000011100010011 <# b100000000011100010011 T% 1b b10000 /% b10 0% b100 3% b10 8% b100011 4% b111010100 U# b111010100 &$ b111010100 <% b111010100 G% b1000000010010001000100011 T# b1000000010010001000100011 %$ b1000000010010001000100011 =% b1000000010010001000100011 H% b11111111111111111111111111111111 )% b10000 f# b10000 H$ b10000 z$ b11111111111111111111111111111111 i# b11111111111111111111111111111111 K$ b11111111111111111111111111111111 t$ b1111 `$ b10000 f$ b110011 g$ b11111111111111111111111111111111 ,% b10000 +% b111011000 a b111011000 }" b111011000 ;# b111011000 @# b111011000 U% b111011000 Z% b10001001 >$ b111010100 C# b111010100 6% b111010100 V% b1000000010010001000100011 B# b1000000010010001000100011 5% b1000000010010001000100011 W% b11111111111111111111111111111111 G# b11111111111111111111111111111111 e$ b11111111111111111111111111111111 P% b10000 E# b10000 c$ b10000 R% b111010000 I# b111010000 n$ b111010000 N% b1111000100000110011 H# b1111000100000110011 m$ b1111000100000110011 O% 1' #2780000 0' #2790000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# b11111111111111111111111111111111 U b11111111111111111111111111111111 (# b11111111111111111111111111111111 4# 1S b10000001000000 g b10000001000000 !# b10000001000000 =# 1`# 1c 1]# 0Z# b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b0 j$ b0 k$ b1111111111111111110111111100010000000000000000000010000000111100 h$ b11111111111111111111111111111111 f b11111111111111111111111111111111 ~" b11111111111111111111111111111111 :# b11111111111111111111111111111111 ^# b11111111111111111111111111111111 '% b10000001000000 a# b10000001000000 $% b10000001000000 _# b10000001000000 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b1110 N# b1110 C% b1110 K% 1M# b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000001000000 W b10000001000000 '# b10000001000000 3# b1 ` b1 $# b1 <# b1 T% 0b b1000000011101111111111111111111101111111000100 i$ b11111111111111111111111111111111 *% b10000000111100 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b11111111111111111111111111111111 h# b11111111111111111111111111111111 J$ b11111111111111111111111111111111 u$ b10000000111100 i# b10000000111100 K$ b10000000111100 t$ b10000 b$ b10000 a$ b10 `$ b100 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b1 /% b0 0% b1110 3% b0 8% b10011 4% 0>% b111011000 U# b111011000 &$ b111011000 <% b111011000 G% b100000000011100010011 T# b100000000011100010011 %$ b100000000011100010011 =% b100000000011100010011 H% b0 "# b11111111111111111111111111111111 F# b11111111111111111111111111111111 d$ b11111111111111111111111111111111 Q% b10000000111100 G# b10000000111100 e$ b10000000111100 P% b0 E# b0 c$ b0 R% 0D# b111010100 I# b111010100 n$ b111010100 N% b1000000010010001000100011 H# b1000000010010001000100011 m$ b1000000010010001000100011 O% b111011000 C# b111011000 6% b111011000 V% b100000000011100010011 B# b100000000011100010011 5% b100000000011100010011 W% b10001010 >$ 1' #2800000 0' #2810000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b1 [# b1 _$ b1 `% 0c 0]# 0`# b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# 1Z# b0 N# b0 C% b0 K% 0M# b10 j$ b1 k$ b0 h$ b1 ,% 0(% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 g b0 !# b0 =# b1110 \# b1110 ^$ b1110 _% b0 /% b0 3% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 *% b0 i$ b0 )% b1110 f# b1110 H$ b1110 z$ b0 g# b0 I$ b0 y$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b1 b$ b1 a$ b0 `$ b1110 f$ b0 p$ b10011 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b1110 +% 1-% b10001011 >$ b1 B# b1 5% b1 W% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b1110 E# b1110 c$ b1110 R% 1D# b111011000 I# b111011000 n$ b111011000 N% b100000000011100010011 H# b100000000011100010011 m$ b100000000011100010011 O% 1' #2820000 0' #2830000 b0 j$ b0 k$ 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b10000000000100000000111110010011 V b10000000000100000000111110010011 {" b10000000000100000000111110010011 5# b0 f# b0 H$ b0 z$ b0 b$ b0 a$ b0 f$ b1 g$ b0 ,% b0 +% 0-% b10000000000100000000111110010011 ` b10000000000100000000111110010011 $# b10000000000100000000111110010011 <# b10000000000100000000111110010011 T% 1b b111011100 W b111011100 '# b111011100 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1 "# b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b10001100 >$ b111011100 a b111011100 }" b111011100 ;# b111011100 @# b111011100 U% b111011100 Z% 1' #2840000 0' #2850000 b1111101110000100010110011 V b1111101110000100010110011 {" b1111101110000100010110011 5# b11111 N# b11111 C% b11111 K% 1M# 1T b111100000 W b111100000 '# b111100000 3# b1111101110000100010110011 ` b1111101110000100010110011 $# b1111101110000100010110011 <# b1111101110000100010110011 T% 1b b1 /% b11111 3% b1000000 7% b10011 4% b111011100 U# b111011100 &$ b111011100 <% b111011100 G% b10000000000100000000111110010011 T# b10000000000100000000111110010011 %$ b10000000000100000000111110010011 =% b10000000000100000000111110010011 H% b111100000 a b111100000 }" b111100000 ;# b111100000 @# b111100000 U% b111100000 Z% b10001101 >$ b111011100 C# b111011100 6% b111011100 V% b10000000000100000000111110010011 B# b10000000000100000000111110010011 5% b10000000000100000000111110010011 W% 1' #2860000 0' #2870000 b11111111111111111111100000000001 O# b11111111111111111111100000000001 B% b11111111111111111111100000000001 J% b1 Q# b1 @% b1 I% b11111111111111111111100000000001 ># b11111111111111111111100000000001 1% b11111111111111111111100000000001 c% b1 ?# b1 2% b1 b% b11 j$ b1 k$ b11111111111111111111100000000001 [# b11111111111111111111100000000001 _$ b11111111111111111111100000000001 `% 1Z# b11111111111111111111100000000001 ,% b11111 \# b11111 ^$ b11111 _% b11111 P# b11111 A% b11111 ^% b1110 R# b1110 ?% b1110 ]% b10001 N# b10001 C% b10001 K% b1000100010010010000100011 V b1000100010010010000100011 {" b1000100010010010000100011 5# b11111 f# b11111 H$ b11111 z$ b1 b$ b11111111111111111111100000000001 a$ b11111 f$ b1000000 o$ b10011 g$ b11111 +% 1-% b11111 /% b1110 0% b10001 3% b0 7% b110011 4% b111100000 U# b111100000 &$ b111100000 <% b111100000 G% b1111101110000100010110011 T# b1111101110000100010110011 %$ b1111101110000100010110011 =% b1111101110000100010110011 H% 1T b111100100 W b111100100 '# b111100100 3# b1000100010010010000100011 ` b1000100010010010000100011 $# b1000100010010010000100011 <# b1000100010010010000100011 T% 1b b11111 E# b11111 c$ b11111 R% 1D# b111011100 I# b111011100 n$ b111011100 N% b10000000000100000000111110010011 H# b10000000000100000000111110010011 m$ b10000000000100000000111110010011 O% b111100000 C# b111100000 6% b111100000 V% b1111101110000100010110011 B# b1111101110000100010110011 5% b1111101110000100010110011 W% b10001110 >$ b111100100 a b111100100 }" b111100100 ;# b111100100 @# b111100100 U% b111100100 Z% 1' #2880000 0' #2890000 b11111111111111111111100000000010 O# b11111111111111111111100000000010 B% b11111111111111111111100000000010 J% b10000000111100 Q# b10000000111100 @% b10000000111100 I% b11111111111111111111100000000010 ># b11111111111111111111100000000010 1% b11111111111111111111100000000010 c% b10000000111100 ?# b10000000111100 2% b10000000111100 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b11010010011 V b11010010011 {" b11010010011 5# 1>% b0 N# b0 C% b0 K% 0M# b10001 P# b10001 A% b10001 ^% b10 R# b10 ?% b10 ]% b10 j$ b0 k$ b1111111111111111111111111111111100000000000000000000011111111111 h$ b11111111111111111111100000000010 [# b11111111111111111111100000000010 _$ b11111111111111111111100000000010 `% b10001 \# b10001 ^$ b10001 _% 1T b111101000 W b111101000 '# b111101000 3# b11010010011 ` b11010010011 $# b11010010011 <# b11010010011 T% 1b b10001 /% b10 0% b1000 3% b10 8% b100011 4% b111100100 U# b111100100 &$ b111100100 <% b111100100 G% b1000100010010010000100011 T# b1000100010010010000100011 %$ b1000100010010010000100011 =% b1000100010010010000100011 H% b11111111111111111111100000000001 i$ b11111111111111111111100000000001 *% b1 )% b10001 f# b10001 H$ b10001 z$ b11111111111111111111100000000001 h# b11111111111111111111100000000001 J$ b11111111111111111111100000000001 u$ b1 i# b1 K$ b1 t$ b11111 b$ b11111 a$ b1110 `$ b10001 f$ b0 o$ b110011 g$ b11111111111111111111100000000010 ,% b10001 +% b111101000 a b111101000 }" b111101000 ;# b111101000 @# b111101000 U% b111101000 Z% b10001111 >$ b111100100 C# b111100100 6% b111100100 V% b1000100010010010000100011 B# b1000100010010010000100011 5% b1000100010010010000100011 W% b11111111111111111111100000000001 F# b11111111111111111111100000000001 d$ b11111111111111111111100000000001 Q% b1 G# b1 e$ b1 P% b10001 E# b10001 c$ b10001 R% b111100000 I# b111100000 n$ b111100000 N% b1111101110000100010110011 H# b1111101110000100010110011 m$ b1111101110000100010110011 O% 1' #2900000 0' #2910000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# b11111111111111111111100000000010 U b11111111111111111111100000000010 (# b11111111111111111111100000000010 4# 1S b10000001000100 g b10000001000100 !# b10000001000100 =# 1`# 1c 1]# 0Z# b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b0 j$ b1 k$ b1111111111111111110111111100010000000001000000011001111110001000 h$ b11111111111111111111100000000010 f b11111111111111111111100000000010 ~" b11111111111111111111100000000010 :# b11111111111111111111100000000010 ^# b11111111111111111111100000000010 '% b10000001000100 a# b10000001000100 $% b10000001000100 _# b10000001000100 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b1101 N# b1101 C% b1101 K% 1M# b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000001000100 W b10000001000100 '# b10000001000100 3# b1 ` b1 $# b1 <# b1 T% 0b b11111111111111111111100000000010 *% b1000000011101111111110111111100110000001111000 i$ b10000000111100 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b11111111111111111111100000000010 h# b11111111111111111111100000000010 J$ b11111111111111111111100000000010 u$ b10000000111100 i# b10000000111100 K$ b10000000111100 t$ b10001 b$ b10001 a$ b10 `$ b1000 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b0 /% b0 0% b1101 3% b0 8% b10011 4% 0>% b111101000 U# b111101000 &$ b111101000 <% b111101000 G% b11010010011 T# b11010010011 %$ b11010010011 =% b11010010011 H% b0 "# b11111111111111111111100000000010 F# b11111111111111111111100000000010 d$ b11111111111111111111100000000010 Q% b10000000111100 G# b10000000111100 e$ b10000000111100 P% b0 E# b0 c$ b0 R% 0D# b111100100 I# b111100100 n$ b111100100 N% b1000100010010010000100011 H# b1000100010010010000100011 m$ b1000100010010010000100011 O% b111101000 C# b111101000 6% b111101000 V% b11010010011 B# b11010010011 5% b11010010011 W% b10010000 >$ 1' #2920000 0' #2930000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d 0c 0]# 0`# 1Z# b0 N# b0 C% b0 K% 0M# b1 j$ b0 k$ b0 h$ 0(% b0 g b0 !# b0 =# b1101 \# b1101 ^$ b1101 _% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 3% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 *% b0 i$ b0 )% b1101 f# b1101 H$ b1101 z$ b0 g# b0 I$ b0 y$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b0 b$ b0 a$ b0 `$ b1101 f$ b0 p$ b10011 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b1101 +% 1-% b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b10010001 >$ b1 B# b1 5% b1 W% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b1101 E# b1101 c$ b1101 R% 1D# b111101000 I# b111101000 n$ b111101000 N% b11010010011 H# b11010010011 m$ b11010010011 O% 1' #2940000 0' #2950000 b0 j$ 0Z# b0 \# b0 ^$ b0 _% b11111111111100000000111100010011 V b11111111111100000000111100010011 {" b11111111111100000000111100010011 5# b0 f# b0 H$ b0 z$ b0 f$ b1 g$ b0 +% 0-% b11111111111100000000111100010011 ` b11111111111100000000111100010011 $# b11111111111100000000111100010011 <# b11111111111100000000111100010011 T% 1b b111101100 W b111101100 '# b111101100 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1 "# b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b10010010 >$ b111101100 a b111101100 }" b111101100 ;# b111101100 @# b111101100 U% b111101100 Z% 1' #2960000 0' #2970000 b1111001101000100100110011 V b1111001101000100100110011 {" b1111001101000100100110011 5# b11110 N# b11110 C% b11110 K% 1M# 1T b111110000 W b111110000 '# b111110000 3# b1111001101000100100110011 ` b1111001101000100100110011 $# b1111001101000100100110011 <# b1111001101000100100110011 T% 1b b11111 /% b11110 3% b1111111 7% b10011 4% b111101100 U# b111101100 &$ b111101100 <% b111101100 G% b11111111111100000000111100010011 T# b11111111111100000000111100010011 %$ b11111111111100000000111100010011 =% b11111111111100000000111100010011 H% b111110000 a b111110000 }" b111110000 ;# b111110000 @# b111110000 U% b111110000 Z% b10010011 >$ b111101100 C# b111101100 6% b111101100 V% b11111111111100000000111100010011 B# b11111111111100000000111100010011 5% b11111111111100000000111100010011 W% 1' #2980000 0' #2990000 b11111111111111111111111111111111 O# b11111111111111111111111111111111 B% b11111111111111111111111111111111 J% b11111111111111111111111111111111 ># b11111111111111111111111111111111 1% b11111111111111111111111111111111 c% b10 j$ b11 k$ b11111111111111111111111111111111 [# b11111111111111111111111111111111 _$ b11111111111111111111111111111111 `% 1Z# b11111111111111111111111111111111 ,% b11110 \# b11110 ^$ b11110 _% b11110 P# b11110 A% b11110 ^% b1101 R# b1101 ?% b1101 ]% b10010 N# b10010 C% b10010 K% b1001000010010011000100011 V b1001000010010011000100011 {" b1001000010010011000100011 5# b11110 f# b11110 H$ b11110 z$ b11111 b$ b11111111111111111111111111111111 a$ b11110 f$ b1111111 o$ b10011 g$ b11110 +% 1-% b11110 /% b1101 0% b10010 3% b0 7% b110011 4% b111110000 U# b111110000 &$ b111110000 <% b111110000 G% b1111001101000100100110011 T# b1111001101000100100110011 %$ b1111001101000100100110011 =% b1111001101000100100110011 H% 1T b111110100 W b111110100 '# b111110100 3# b1001000010010011000100011 ` b1001000010010011000100011 $# b1001000010010011000100011 <# b1001000010010011000100011 T% 1b b11110 E# b11110 c$ b11110 R% 1D# b111101100 I# b111101100 n$ b111101100 N% b11111111111100000000111100010011 H# b11111111111100000000111100010011 m$ b11111111111100000000111100010011 O% b111110000 C# b111110000 6% b111110000 V% b1111001101000100100110011 B# b1111001101000100100110011 5% b1111001101000100100110011 W% b10010100 >$ b111110100 a b111110100 }" b111110100 ;# b111110100 @# b111110100 U% b111110100 Z% 1' #3000000 0' #3010000 b10000000111100 Q# b10000000111100 @% b10000000111100 I% b11111111111111111111111111111111 ># b11111111111111111111111111111111 1% b11111111111111111111111111111111 c% b10000000111100 ?# b10000000111100 2% b10000000111100 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b10 k$ b1111111111100000000011000010011 V b1111111111100000000011000010011 {" b1111111111100000000011000010011 5# 1>% b0 N# b0 C% b0 K% 0M# b10010 P# b10010 A% b10010 ^% b10 R# b10 ?% b10 ]% b11111111111111111111111111111111 [# b11111111111111111111111111111111 _$ b11111111111111111111111111111111 `% b10010 \# b10010 ^$ b10010 _% 1T b111111000 W b111111000 '# b111111000 3# b1111111111100000000011000010011 ` b1111111111100000000011000010011 $# b1111111111100000000011000010011 <# b1111111111100000000011000010011 T% 1b b10010 /% b10 0% b1100 3% b10 8% b100011 4% b111110100 U# b111110100 &$ b111110100 <% b111110100 G% b1001000010010011000100011 T# b1001000010010011000100011 %$ b1001000010010011000100011 =% b1001000010010011000100011 H% b11111111111111111111111111111111 *% b10010 f# b10010 H$ b10010 z$ b11111111111111111111111111111111 h# b11111111111111111111111111111111 J$ b11111111111111111111111111111111 u$ b11110 b$ b11110 a$ b1101 `$ b10010 f$ b0 o$ b110011 g$ b11111111111111111111111111111111 ,% b10010 +% b111111000 a b111111000 }" b111111000 ;# b111111000 @# b111111000 U% b111111000 Z% b10010101 >$ b111110100 C# b111110100 6% b111110100 V% b1001000010010011000100011 B# b1001000010010011000100011 5% b1001000010010011000100011 W% b11111111111111111111111111111111 F# b11111111111111111111111111111111 d$ b11111111111111111111111111111111 Q% b10010 E# b10010 c$ b10010 R% b111110000 I# b111110000 n$ b111110000 N% b1111001101000100100110011 H# b1111001101000100100110011 m$ b1111001101000100100110011 O% 1' #3020000 0' #3030000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# b11111111111111111111111111111111 U b11111111111111111111111111111111 (# b11111111111111111111111111111111 4# 1S b10000001001000 g b10000001001000 !# b10000001001000 =# 1`# 1c 1]# 0Z# b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b0 j$ b1111111111111111110111111100010000000000000000000010000000111100 h$ b11111111111111111111111111111111 f b11111111111111111111111111111111 ~" b11111111111111111111111111111111 :# b11111111111111111111111111111111 ^# b11111111111111111111111111111111 '% b10000001001000 a# b10000001001000 $% b10000001001000 _# b10000001001000 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b1100 N# b1100 C% b1100 K% 1M# b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000001001000 W b10000001001000 '# b10000001001000 3# b1 ` b1 $# b1 <# b1 T% 0b b1000000011101111111111111111111101111111000100 i$ b10000000111100 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b10000000111100 i# b10000000111100 K$ b10000000111100 t$ b10010 b$ b10010 a$ b10 `$ b1100 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b11111 /% b0 0% b111111 7% b0 8% b10011 4% 0>% b111111000 U# b111111000 &$ b111111000 <% b111111000 G% b1111111111100000000011000010011 T# b1111111111100000000011000010011 %$ b1111111111100000000011000010011 =% b1111111111100000000011000010011 H% b0 "# b10000000111100 G# b10000000111100 e$ b10000000111100 P% b0 E# b0 c$ b0 R% 0D# b111110100 I# b111110100 n$ b111110100 N% b1001000010010011000100011 H# b1001000010010011000100011 m$ b1001000010010011000100011 O% b111111000 C# b111111000 6% b111111000 V% b1111111111100000000011000010011 B# b1111111111100000000011000010011 5% b1111111111100000000011000010011 W% b10010110 >$ 1' #3040000 0' #3050000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b11111111111 [# b11111111111 _$ b11111111111 `% 0c 0]# 0`# b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# 1Z# b0 N# b0 C% b0 K% 0M# b11 k$ b0 h$ b11111111111 ,% 0(% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 g b0 !# b0 =# b1100 \# b1100 ^$ b1100 _% b0 /% b0 3% b0 7% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 *% b0 i$ b0 )% b1100 f# b1100 H$ b1100 z$ b0 g# b0 I$ b0 y$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b11111 b$ b11111111111 a$ b0 `$ b111111 o$ b0 p$ b10011 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b1100 +% 1-% b10010111 >$ b1 B# b1 5% b1 W% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b1100 E# b1100 c$ b1100 R% 1D# b111111000 I# b111111000 n$ b111111000 N% b1111111111100000000011000010011 H# b1111111111100000000011000010011 m$ b1111111111100000000011000010011 O% 1' #3060000 0' #3070000 b0 k$ 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11111111111111111111111010110111 V b11111111111111111111111010110111 {" b11111111111111111111111010110111 5# b0 f# b0 H$ b0 z$ b0 b$ b0 a$ b0 f$ b0 o$ b1 g$ b0 ,% b0 +% 0-% b11111111111111111111111010110111 ` b11111111111111111111111010110111 $# b11111111111111111111111010110111 <# b11111111111111111111111010110111 T% 1b b111111100 W b111111100 '# b111111100 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1 "# b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b10011000 >$ b111111100 a b111111100 }" b111111100 ;# b111111100 @# b111111100 U% b111111100 Z% 1' #3080000 0' #3090000 b11011100110011101000111010010011 V b11011100110011101000111010010011 {" b11011100110011101000111010010011 5# b11101 N# b11101 C% b11101 K% 1M# 1T b1000000000 W b1000000000 '# b1000000000 3# b11011100110011101000111010010011 ` b11011100110011101000111010010011 $# b11011100110011101000111010010011 <# b11011100110011101000111010010011 T% 1b b11111 /% b11111 0% b11101 3% b1111111 7% b111 8% b110111 4% b111111100 U# b111111100 &$ b111111100 <% b111111100 G% b11111111111111111111111010110111 T# b11111111111111111111111010110111 %$ b11111111111111111111111010110111 =% b11111111111111111111111010110111 H% b1000000000 a b1000000000 }" b1000000000 ;# b1000000000 @# b1000000000 U% b1000000000 Z% b10011001 >$ b111111100 C# b111111100 6% b111111100 V% b11111111111111111111111010110111 B# b11111111111111111111111010110111 5% b11111111111111111111111010110111 W% 1' #3100000 0' #3110000 b11111111111111111111000000000000 Q# b11111111111111111111000000000000 @% b11111111111111111111000000000000 I% b11111111111111111111000000000000 ?# b11111111111111111111000000000000 2% b11111111111111111111000000000000 b% b1 j$ b11 k$ b11111111111111111111000000000000 [# b11111111111111111111000000000000 _$ b11111111111111111111000000000000 `% 1Z# b11111111111111111111000000000000 ,% b11101 \# b11101 ^$ b11101 _% b11101 R# b11101 ?% b11101 ]% b1110101100000100110110011 V b1110101100000100110110011 {" b1110101100000100110110011 5# b11101 f# b11101 H$ b11101 z$ b111 g# b111 I$ b111 y$ b11111 b$ b11111111111111111111111111111111 a$ b11111 `$ b11101 f$ b1111111 o$ b111 p$ b110111 g$ b11101 +% 1-% b1100 /% b11101 0% b1101110 7% b0 8% b10011 4% b1000000000 U# b1000000000 &$ b1000000000 <% b1000000000 G% b11011100110011101000111010010011 T# b11011100110011101000111010010011 %$ b11011100110011101000111010010011 =% b11011100110011101000111010010011 H% 1T b1000000100 W b1000000100 '# b1000000100 3# b1110101100000100110110011 ` b1110101100000100110110011 $# b1110101100000100110110011 <# b1110101100000100110110011 T% 1b b11101 E# b11101 c$ b11101 R% 1D# b111111100 I# b111111100 n$ b111111100 N% b11111111111111111111111010110111 H# b11111111111111111111111010110111 m$ b11111111111111111111111010110111 O% b1000000000 C# b1000000000 6% b1000000000 V% b11011100110011101000111010010011 B# b11011100110011101000111010010011 5% b11011100110011101000111010010011 W% b10011010 >$ b1000000100 a b1000000100 }" b1000000100 ;# b1000000100 @# b1000000100 U% b1000000100 Z% 1' #3120000 0' #3130000 b11111111111111111110110111001100 O# b11111111111111111110110111001100 B% b11111111111111111110110111001100 J% b11111111111 Q# b11111111111 @% b11111111111 I% b11111111111111111110110111001100 ># b11111111111111111110110111001100 1% b11111111111111111110110111001100 c% b11111111111 ?# b11111111111 2% b11111111111 b% b1001100010010100000100011 V b1001100010010100000100011 {" b1001100010010100000100011 5# b11101 P# b11101 A% b11101 ^% b1100 R# b1100 ?% b1100 ]% b10011 N# b10011 C% b10011 K% b0 k$ b11111111111111111110110111001100 [# b11111111111111111110110111001100 _$ b11111111111111111110110111001100 `% 1T b1000001000 W b1000001000 '# b1000001000 3# b1001100010010100000100011 ` b1001100010010100000100011 $# b1001100010010100000100011 <# b1001100010010100000100011 T% 1b b11101 /% b1100 0% b10011 3% b0 7% b110011 4% b1000000100 U# b1000000100 &$ b1000000100 <% b1000000100 G% b1110101100000100110110011 T# b1110101100000100110110011 %$ b1110101100000100110110011 =% b1110101100000100110110011 H% b11111111111111111111000000000000 )% b0 g# b0 I$ b0 y$ b11111111111111111111000000000000 i# b11111111111111111111000000000000 K$ b11111111111111111111000000000000 t$ b1100 b$ b11111111111111111111110111001100 a$ b11101 `$ b1101110 o$ b0 p$ b10011 g$ b11111111111111111110110111001100 ,% b1000001000 a b1000001000 }" b1000001000 ;# b1000001000 @# b1000001000 U% b1000001000 Z% b10011011 >$ b1000000100 C# b1000000100 6% b1000000100 V% b1110101100000100110110011 B# b1110101100000100110110011 5% b1110101100000100110110011 W% b11111111111111111111000000000000 G# b11111111111111111111000000000000 e$ b11111111111111111111000000000000 P% b1000000000 I# b1000000000 n$ b1000000000 N% b11011100110011101000111010010011 H# b11011100110011101000111010010011 m$ b11011100110011101000111010010011 O% 1' #3140000 0' #3150000 b11111111111111111111010111001011 O# b11111111111111111111010111001011 B% b11111111111111111111010111001011 J% b10000000111100 Q# b10000000111100 @% b10000000111100 I% b11111111111111111111010111001011 ># b11111111111111111111010111001011 1% b11111111111111111111010111001011 c% b10000000111100 ?# b10000000111100 2% b10000000111100 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b10 j$ b1111111111111111111110000000000100000000100100011000110111001100 h$ b11111111111111111111010111001011 [# b11111111111111111111010111001011 _$ b11111111111111111111010111001011 `% b10011 \# b10011 ^$ b10011 _% 1>% b0 N# b0 C% b0 K% 0M# b10011 P# b10011 A% b10011 ^% b10 R# b10 ?% b10 ]% b10000010010111 V b10000010010111 {" b10000010010111 5# b1111111111011111111011011100111001000110100 i$ b11111111111111111110110111001100 *% b11111111111 )% b10011 f# b10011 H$ b10011 z$ b11111111111111111110110111001100 h# b11111111111111111110110111001100 J$ b11111111111111111110110111001100 u$ b11111111111 i# b11111111111 K$ b11111111111 t$ b11101 b$ b11101 a$ b1100 `$ b10011 f$ b0 o$ b110011 g$ b11111111111111111111010111001011 ,% b10011 +% b10011 /% b10 0% b10000 3% b10 8% b100011 4% b1000001000 U# b1000001000 &$ b1000001000 <% b1000001000 G% b1001100010010100000100011 T# b1001100010010100000100011 %$ b1001100010010100000100011 =% b1001100010010100000100011 H% 1T b1000001100 W b1000001100 '# b1000001100 3# b10000010010111 ` b10000010010111 $# b10000010010111 <# b10000010010111 T% 1b b11111111111111111110110111001100 F# b11111111111111111110110111001100 d$ b11111111111111111110110111001100 Q% b11111111111 G# b11111111111 e$ b11111111111 P% b10011 E# b10011 c$ b10011 R% b1000000100 I# b1000000100 n$ b1000000100 N% b1110101100000100110110011 H# b1110101100000100110110011 m$ b1110101100000100110110011 O% b1000001000 C# b1000001000 6% b1000001000 V% b1001100010010100000100011 B# b1001100010010100000100011 5% b1001100010010100000100011 W% b10011100 >$ b1000001100 a b1000001100 }" b1000001100 ;# b1000001100 @# b1000001100 U% b1000001100 Z% 1' #3160000 0' #3170000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# 1S b10000001001100 g b10000001001100 !# b10000001001100 =# 1`# 1c 1]# 0Z# b11111111111111111111010111001011 U b11111111111111111111010111001011 (# b11111111111111111111010111001011 4# b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b1 N# b1 C% b1 K% 1M# b0 j$ b11 k$ b1111111111111111110111111100010000000001010010010000010001101100 h$ b11111111111111111111010111001011 f b11111111111111111111010111001011 ~" b11111111111111111111010111001011 :# b11111111111111111111010111001011 ^# b11111111111111111111010111001011 '% b10000001001100 a# b10000001001100 $% b10000001001100 _# b10000001001100 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b0 /% b0 0% b1 3% b10111 4% 0>% b1000001100 U# b1000001100 &$ b1000001100 <% b1000001100 G% b10000010010111 T# b10000010010111 %$ b10000010010111 =% b10000010010111 H% b11111111111111111111010111001011 *% b1000000011101111111110101101101111101110010100 i$ b10000000111100 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b11111111111111111111010111001011 h# b11111111111111111111010111001011 J$ b11111111111111111111010111001011 u$ b10000000111100 i# b10000000111100 K$ b10000000111100 t$ b10011 b$ b10011 a$ b10 `$ b10000 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000001001100 W b10000001001100 '# b10000001001100 3# b1 ` b1 $# b1 <# b1 T% 0b b10011101 >$ b1000001100 C# b1000001100 6% b1000001100 V% b10000010010111 B# b10000010010111 5% b10000010010111 W% b11111111111111111111010111001011 F# b11111111111111111111010111001011 d$ b11111111111111111111010111001011 Q% b10000000111100 G# b10000000111100 e$ b10000000111100 P% b0 E# b0 c$ b0 R% 0D# b1000001000 I# b1000001000 n$ b1000001000 N% b1001100010010100000100011 H# b1001100010010100000100011 m$ b1001100010010100000100011 O% b0 "# 1' #3180000 0' #3190000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b10001000001100 [# b10001000001100 _$ b10001000001100 `% 0c 0]# 0`# 1Z# b1 j$ b0 k$ b0 h$ b10001000001100 ,% 0(% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 g b0 !# b0 =# b1 \# b1 ^$ b1 _% b0 N# b0 C% b0 K% 0M# b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b0 *% b0 i$ b0 )% b1 f# b1 H$ b1 z$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b0 b$ b0 a$ b0 `$ b1 f$ b10111 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b1 +% 1-% b0 3% b0 8% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b1 E# b1 c$ b1 R% 1D# b1000001100 I# b1000001100 n$ b1000001100 N% b10000010010111 H# b10000010010111 m$ b10000010010111 O% b1 B# b1 5% b1 W% b10011110 >$ 1' #3200000 0' #3210000 b0 j$ 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11100100010000001000000010010011 V b11100100010000001000000010010011 {" b11100100010000001000000010010011 5# b0 f# b0 H$ b0 z$ b0 g# b0 I$ b0 y$ b0 f$ b0 p$ b1 g$ b0 ,% b0 +% 0-% b11100100010000001000000010010011 ` b11100100010000001000000010010011 $# b11100100010000001000000010010011 <# b11100100010000001000000010010011 T% 1b b1000010000 W b1000010000 '# b1000010000 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1000010000 a b1000010000 }" b1000010000 ;# b1000010000 @# b1000010000 U% b1000010000 Z% b10011111 >$ b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b1 "# 1' #3220000 0' #3230000 b10001000001100 Q# b10001000001100 @% b10001000001100 I% b10001000001100 ?# b10001000001100 2% b10001000001100 b% b1 R# b1 ?% b1 ]% b1 N# b1 C% b1 K% 1M# b10110010011 V b10110010011 {" b10110010011 5# b100 /% b1 0% b1 3% b1110010 7% b10011 4% b1000010000 U# b1000010000 &$ b1000010000 <% b1000010000 G% b11100100010000001000000010010011 T# b11100100010000001000000010010011 %$ b11100100010000001000000010010011 =% b11100100010000001000000010010011 H% 1T b1000010100 W b1000010100 '# b1000010100 3# b10110010011 ` b10110010011 $# b10110010011 <# b10110010011 T% 1b b1000010000 C# b1000010000 6% b1000010000 V% b11100100010000001000000010010011 B# b11100100010000001000000010010011 5% b11100100010000001000000010010011 W% b10100000 >$ b1000010100 a b1000010100 }" b1000010100 ;# b1000010100 @# b1000010100 U% b1000010100 Z% 1' #3240000 0' #3250000 b0 Q# b0 @% b0 I% b0 ?# b0 2% b0 b% b10000001010000 [# b10000001010000 _$ b10000001010000 `% 1Z# b10000000000000000000111000110111 V b10000000000000000000111000110111 {" b10000000000000000000111000110111 5# b0 R# b0 ?% b0 ]% b1011 N# b1011 C% b1011 K% b1 j$ b10000001010000 ,% b1 \# b1 ^$ b1 _% 1T b1000011000 W b1000011000 '# b1000011000 3# b10000000000000000000111000110111 ` b10000000000000000000111000110111 $# b10000000000000000000111000110111 <# b10000000000000000000111000110111 T% 1b b0 /% b0 0% b1011 3% b0 7% b1000010100 U# b1000010100 &$ b1000010100 <% b1000010100 G% b10110010011 T# b10110010011 %$ b10110010011 =% b10110010011 H% b10001000001100 )% b1 f# b1 H$ b1 z$ b10001000001100 i# b10001000001100 K$ b10001000001100 t$ b100 b$ b11111111111111111111111001000100 a$ b1 `$ b1 f$ b1110010 o$ b10011 g$ b1 +% 1-% b1000011000 a b1000011000 }" b1000011000 ;# b1000011000 @# b1000011000 U% b1000011000 Z% b10100001 >$ b1000010100 C# b1000010100 6% b1000010100 V% b10110010011 B# b10110010011 5% b10110010011 W% b10001000001100 G# b10001000001100 e$ b10001000001100 P% b1 E# b1 c$ b1 R% 1D# b1000010000 I# b1000010000 n$ b1000010000 N% b11100100010000001000000010010011 H# b11100100010000001000000010010011 m$ b11100100010000001000000010010011 O% 1' #3260000 0' #3270000 b11 j$ b0 [# b0 _$ b0 `% b1011 \# b1011 ^$ b1011 _% b11100 N# b11100 C% b11100 K% b1110001011000101000110011 V b1110001011000101000110011 {" b1110001011000101000110011 5# b0 )% b1011 f# b1011 H$ b1011 z$ b0 i# b0 K$ b0 t$ b0 b$ b0 a$ b0 `$ b1011 f$ b0 o$ b0 ,% b1011 +% b11100 3% b1000000 7% b110111 4% b1000011000 U# b1000011000 &$ b1000011000 <% b1000011000 G% b10000000000000000000111000110111 T# b10000000000000000000111000110111 %$ b10000000000000000000111000110111 =% b10000000000000000000111000110111 H% 1T b1000011100 W b1000011100 '# b1000011100 3# b1110001011000101000110011 ` b1110001011000101000110011 $# b1110001011000101000110011 <# b1110001011000101000110011 T% 1b b0 G# b0 e$ b0 P% b1011 E# b1011 c$ b1011 R% b1000010100 I# b1000010100 n$ b1000010100 N% b10110010011 H# b10110010011 m$ b10110010011 O% b1000011000 C# b1000011000 6% b1000011000 V% b10000000000000000000111000110111 B# b10000000000000000000111000110111 5% b10000000000000000000111000110111 W% b10100010 >$ b1000011100 a b1000011100 }" b1000011100 ;# b1000011100 @# b1000011100 U% b1000011100 Z% 1' #3280000 0' #3290000 b10000000000000000000000000000000 O# b10000000000000000000000000000000 B% b10000000000000000000000000000000 J% b10000000000000000000000000000000 ># b10000000000000000000000000000000 1% b10000000000000000000000000000000 c% b0 j$ b1010000001010000000100011 V b1010000001010000000100011 {" b1010000001010000000100011 5# b11100 P# b11100 A% b11100 ^% b1011 R# b1011 ?% b1011 ]% b10100 N# b10100 C% b10100 K% b10000000000000000000000000000000 [# b10000000000000000000000000000000 _$ b10000000000000000000000000000000 `% b11100 \# b11100 ^$ b11100 _% 1T b1000100000 W b1000100000 '# b1000100000 3# b1010000001010000000100011 ` b1010000001010000000100011 $# b1010000001010000000100011 <# b1010000001010000000100011 T% 1b b11100 /% b1011 0% b10100 3% b0 7% b110011 4% b1000011100 U# b1000011100 &$ b1000011100 <% b1000011100 G% b1110001011000101000110011 T# b1110001011000101000110011 %$ b1110001011000101000110011 =% b1110001011000101000110011 H% b11100 f# b11100 H$ b11100 z$ b11111111111111111111100000000000 a$ b11100 f$ b1000000 o$ b110111 g$ b10000000000000000000000000000000 ,% b11100 +% b1000100000 a b1000100000 }" b1000100000 ;# b1000100000 @# b1000100000 U% b1000100000 Z% b10100011 >$ b1000011100 C# b1000011100 6% b1000011100 V% b1110001011000101000110011 B# b1110001011000101000110011 5% b1110001011000101000110011 W% b11100 E# b11100 c$ b11100 R% b1000011000 I# b1000011000 n$ b1000011000 N% b10000000000000000000111000110111 H# b10000000000000000000111000110111 m$ b10000000000000000000111000110111 O% 1' #3300000 0' #3310000 b10000001010000 Q# b10000001010000 @% b10000001010000 I% b10000000000000000000000000000000 ># b10000000000000000000000000000000 1% b10000000000000000000000000000000 c% b10000001010000 ?# b10000001010000 2% b10000001010000 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b10000000000000000000000000000000 [# b10000000000000000000000000000000 _$ b10000000000000000000000000000000 `% b10100 \# b10100 ^$ b10100 _% 1>% b0 N# b0 C% b0 K% 0M# b10100 P# b10100 A% b10100 ^% b1 R# b1 ?% b1 ]% b1010100110111 V b1010100110111 {" b1010100110111 5# b10000000000000000000000000000000 *% b10100 f# b10100 H$ b10100 z$ b10000000000000000000000000000000 h# b10000000000000000000000000000000 J$ b10000000000000000000000000000000 u$ b11100 b$ b11100 a$ b1011 `$ b10100 f$ b0 o$ b110011 g$ b10000000000000000000000000000000 ,% b10100 +% b10100 /% b1 0% b0 3% b10 8% b100011 4% b1000100000 U# b1000100000 &$ b1000100000 <% b1000100000 G% b1010000001010000000100011 T# b1010000001010000000100011 %$ b1010000001010000000100011 =% b1010000001010000000100011 H% 1T b1000100100 W b1000100100 '# b1000100100 3# b1010100110111 ` b1010100110111 $# b1010100110111 <# b1010100110111 T% 1b b10000000000000000000000000000000 F# b10000000000000000000000000000000 d$ b10000000000000000000000000000000 Q% b10100 E# b10100 c$ b10100 R% b1000011100 I# b1000011100 n$ b1000011100 N% b1110001011000101000110011 H# b1110001011000101000110011 m$ b1110001011000101000110011 O% b1000100000 C# b1000100000 6% b1000100000 V% b1010000001010000000100011 B# b1010000001010000000100011 5% b1010000001010000000100011 W% b10100100 >$ b1000100100 a b1000100100 }" b1000100100 ;# b1000100100 @# b1000100100 U% b1000100100 Z% 1' #3320000 0' #3330000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# 1S b10000001010000 g b10000001010000 !# b10000001010000 =# 1`# 1c 1]# 0Z# b10000000000000000000000000000000 U b10000000000000000000000000000000 (# b10000000000000000000000000000000 4# b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b1010 N# b1010 C% b1010 K% 1M# b1111111111111111111011111101100000000000000000000000000000000000 h$ b10000000000000000000000000000000 f b10000000000000000000000000000000 ~" b10000000000000000000000000000000 :# b10000000000000000000000000000000 ^# b10000000000000000000000000000000 '% b10000001010000 a# b10000001010000 $% b10000001010000 _# b10000001010000 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b0 /% b0 0% b1010 3% b1 8% b110111 4% 0>% b1000100100 U# b1000100100 &$ b1000100100 <% b1000100100 G% b1010100110111 T# b1010100110111 %$ b1010100110111 =% b1010100110111 H% b100000010100000000000000000000000000000000000 i$ b10000001010000 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b10000001010000 i# b10000001010000 K$ b10000001010000 t$ b10100 b$ b10100 a$ b1 `$ b0 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000001010000 W b10000001010000 '# b10000001010000 3# b1 ` b1 $# b1 <# b1 T% 0b b10100101 >$ b1000100100 C# b1000100100 6% b1000100100 V% b1010100110111 B# b1010100110111 5% b1010100110111 W% b10000001010000 G# b10000001010000 e$ b10000001010000 P% b0 E# b0 c$ b0 R% 0D# b1000100000 I# b1000100000 n$ b1000100000 N% b1010000001010000000100011 H# b1010000001010000000100011 m$ b1010000001010000000100011 O% b0 "# 1' #3340000 0' #3350000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b1000000000000 [# b1000000000000 _$ b1000000000000 `% 0c 0]# 0`# 1Z# b10 j$ b0 h$ b1000000000000 ,% 0(% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 g b0 !# b0 =# b1010 \# b1010 ^$ b1010 _% b0 N# b0 C% b0 K% 0M# b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b0 *% b0 i$ b0 )% b1010 f# b1010 H$ b1010 z$ b1 g# b1 I$ b1 y$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b0 b$ b0 a$ b0 `$ b1010 f$ b1 p$ b110111 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b1010 +% 1-% b0 3% b0 8% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b1010 E# b1010 c$ b1010 R% 1D# b1000100100 I# b1000100100 n$ b1000100100 N% b1010100110111 H# b1010100110111 m$ b1010100110111 O% b1 B# b1 5% b1 W% b10100110 >$ 1' #3360000 0' #3370000 b0 j$ 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b10000000000001010000010100010011 V b10000000000001010000010100010011 {" b10000000000001010000010100010011 5# b0 f# b0 H$ b0 z$ b0 g# b0 I$ b0 y$ b0 f$ b0 p$ b1 g$ b0 ,% b0 +% 0-% b10000000000001010000010100010011 ` b10000000000001010000010100010011 $# b10000000000001010000010100010011 <# b10000000000001010000010100010011 T% 1b b1000101000 W b1000101000 '# b1000101000 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1000101000 a b1000101000 }" b1000101000 ;# b1000101000 @# b1000101000 U% b1000101000 Z% b10100111 >$ b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b1 "# 1' #3380000 0' #3390000 b1000000000000 Q# b1000000000000 @% b1000000000000 I% b1000000000000 ?# b1000000000000 2% b1000000000000 b% b1010 R# b1010 ?% b1010 ]% b1010 N# b1010 C% b1010 K% 1M# b1110110110111 V b1110110110111 {" b1110110110111 5# b1010 0% b1010 3% b1000000 7% b10011 4% b1000101000 U# b1000101000 &$ b1000101000 <% b1000101000 G% b10000000000001010000010100010011 T# b10000000000001010000010100010011 %$ b10000000000001010000010100010011 =% b10000000000001010000010100010011 H% 1T b1000101100 W b1000101100 '# b1000101100 3# b1110110110111 ` b1110110110111 $# b1110110110111 <# b1110110110111 T% 1b b1000101000 C# b1000101000 6% b1000101000 V% b10000000000001010000010100010011 B# b10000000000001010000010100010011 5% b10000000000001010000010100010011 W% b10101000 >$ b1000101100 a b1000101100 }" b1000101100 ;# b1000101100 @# b1000101100 U% b1000101100 Z% 1' #3400000 0' #3410000 b0 Q# b0 @% b0 I% b0 ?# b0 2% b0 b% b100000000000 [# b100000000000 _$ b100000000000 `% 1Z# b100011010011011000110110010011 V b100011010011011000110110010011 {" b100011010011011000110110010011 5# b0 R# b0 ?% b0 ]% b11011 N# b11011 C% b11011 K% b10 j$ b100000000000 ,% b1010 \# b1010 ^$ b1010 _% 1T b1000110000 W b1000110000 '# b1000110000 3# b100011010011011000110110010011 ` b100011010011011000110110010011 $# b100011010011011000110110010011 <# b100011010011011000110110010011 T% 1b b0 0% b11011 3% b0 7% b1 8% b110111 4% b1000101100 U# b1000101100 &$ b1000101100 <% b1000101100 G% b1110110110111 T# b1110110110111 %$ b1110110110111 =% b1110110110111 H% b1000000000000 )% b1010 f# b1010 H$ b1010 z$ b1000000000000 i# b1000000000000 K$ b1000000000000 t$ b11111111111111111111100000000000 a$ b1010 `$ b1010 f$ b1000000 o$ b10011 g$ b1010 +% 1-% b1000110000 a b1000110000 }" b1000110000 ;# b1000110000 @# b1000110000 U% b1000110000 Z% b10101001 >$ b1000101100 C# b1000101100 6% b1000101100 V% b1110110110111 B# b1110110110111 5% b1110110110111 W% b1000000000000 G# b1000000000000 e$ b1000000000000 P% b1010 E# b1010 c$ b1010 R% 1D# b1000101000 I# b1000101000 n$ b1000101000 N% b10000000000001010000010100010011 H# b10000000000001010000010100010011 m$ b10000000000001010000010100010011 O% 1' #3420000 0' #3430000 b1000000000000 Q# b1000000000000 @% b1000000000000 I% b1000000000000 ?# b1000000000000 2% b1000000000000 b% b11 j$ b1000000000000 [# b1000000000000 _$ b1000000000000 `% b11011 \# b11011 ^$ b11011 _% b11011 R# b11011 ?% b11011 ]% b1101101010000101010110011 V b1101101010000101010110011 {" b1101101010000101010110011 5# b0 )% b11011 f# b11011 H$ b11011 z$ b1 g# b1 I$ b1 y$ b0 i# b0 K$ b0 t$ b0 a$ b0 `$ b11011 f$ b0 o$ b1 p$ b110111 g$ b1000000000000 ,% b11011 +% b10100 /% b11011 0% b10001 7% b0 8% b10011 4% b1000110000 U# b1000110000 &$ b1000110000 <% b1000110000 G% b100011010011011000110110010011 T# b100011010011011000110110010011 %$ b100011010011011000110110010011 =% b100011010011011000110110010011 H% 1T b1000110100 W b1000110100 '# b1000110100 3# b1101101010000101010110011 ` b1101101010000101010110011 $# b1101101010000101010110011 <# b1101101010000101010110011 T% 1b b0 G# b0 e$ b0 P% b11011 E# b11011 c$ b11011 R% b1000101100 I# b1000101100 n$ b1000101100 N% b1110110110111 H# b1110110110111 m$ b1110110110111 O% b1000110000 C# b1000110000 6% b1000110000 V% b100011010011011000110110010011 B# b100011010011011000110110010011 5% b100011010011011000110110010011 W% b10101010 >$ b1000110100 a b1000110100 }" b1000110100 ;# b1000110100 @# b1000110100 U% b1000110100 Z% 1' #3440000 0' #3450000 b1001000110100 O# b1001000110100 B% b1001000110100 J% b100000000000 Q# b100000000000 @% b100000000000 I% b1001000110100 ># b1001000110100 1% b1001000110100 c% b100000000000 ?# b100000000000 2% b100000000000 b% b1010100001010001000100011 V b1010100001010001000100011 {" b1010100001010001000100011 5# b11011 P# b11011 A% b11011 ^% b1010 R# b1010 ?% b1010 ]% b10101 N# b10101 C% b10101 K% b1001000110100 [# b1001000110100 _$ b1001000110100 `% 1T b1000111000 W b1000111000 '# b1000111000 3# b1010100001010001000100011 ` b1010100001010001000100011 $# b1010100001010001000100011 <# b1010100001010001000100011 T% 1b b11011 /% b1010 0% b10101 3% b0 7% b110011 4% b1000110100 U# b1000110100 &$ b1000110100 <% b1000110100 G% b1101101010000101010110011 T# b1101101010000101010110011 %$ b1101101010000101010110011 =% b1101101010000101010110011 H% b1000000000000 )% b0 g# b0 I$ b0 y$ b1000000000000 i# b1000000000000 K$ b1000000000000 t$ b10100 b$ b1000110100 a$ b11011 `$ b10001 o$ b0 p$ b10011 g$ b1001000110100 ,% b1000111000 a b1000111000 }" b1000111000 ;# b1000111000 @# b1000111000 U% b1000111000 Z% b1000000000000 % b10101011 >$ b1000110100 C# b1000110100 6% b1000110100 V% b1101101010000101010110011 B# b1101101010000101010110011 5% b1101101010000101010110011 W% b1000000000000 G# b1000000000000 e$ b1000000000000 P% b1000110000 I# b1000110000 n$ b1000110000 N% b100011010011011000110110010011 H# b100011010011011000110110010011 m$ b100011010011011000110110010011 O% 1' #3460000 0' #3470000 b1101000110100 O# b1101000110100 B% b1101000110100 J% b10000001010000 Q# b10000001010000 @% b10000001010000 I% b1101000110100 ># b1101000110100 1% b1101000110100 c% b10000001010000 ?# b10000001010000 2% b10000001010000 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b1 j$ b11 k$ b1111111111111111111111111111111111111111011011100110000000000000 h$ b1101000110100 [# b1101000110100 _$ b1101000110100 `% b10101 \# b10101 ^$ b10101 _% 1>% b0 N# b0 C% b0 K% 0M# b10101 P# b10101 A% b10101 ^% b1 R# b1 ?% b1 ]% b111011001010100010010110111 V b111011001010100010010110111 {" b111011001010100010010110111 5# b100100011010000000000000 i$ b1001000110100 *% b100000000000 )% b10101 f# b10101 H$ b10101 z$ b1001000110100 h# b1001000110100 J$ b1001000110100 u$ b100000000000 i# b100000000000 K$ b100000000000 t$ b11011 b$ b11011 a$ b1010 `$ b10101 f$ b0 o$ b110011 g$ b1101000110100 ,% b10101 +% b10101 /% b1 0% b100 3% b10 8% b100011 4% b1000111000 U# b1000111000 &$ b1000111000 <% b1000111000 G% b1010100001010001000100011 T# b1010100001010001000100011 %$ b1010100001010001000100011 =% b1010100001010001000100011 H% 1T b1000111100 W b1000111100 '# b1000111100 3# b111011001010100010010110111 ` b111011001010100010010110111 $# b111011001010100010010110111 <# b111011001010100010010110111 T% 1b b1001000110100 F# b1001000110100 d$ b1001000110100 Q% b100000000000 G# b100000000000 e$ b100000000000 P% b10101 E# b10101 c$ b10101 R% b1000110100 I# b1000110100 n$ b1000110100 N% b1101101010000101010110011 H# b1101101010000101010110011 m$ b1101101010000101010110011 O% b1000111000 C# b1000111000 6% b1000111000 V% b1010100001010001000100011 B# b1010100001010001000100011 5% b1010100001010001000100011 W% b10101100 >$ b1001000110100 % b1000111100 a b1000111100 }" b1000111100 ;# b1000111100 @# b1000111100 U% b1000111100 Z% 1' #3480000 0' #3490000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# 1S b10000001010100 g b10000001010100 !# b10000001010100 =# 1`# 1c 1]# 0Z# b1101000110100 U b1101000110100 (# b1101000110100 4# b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b1001 N# b1001 C% b1001 K% 1M# b0 j$ b1 k$ b1111111111111111111111111111111111111100101100010100111111000000 h$ b1101000110100 f b1101000110100 ~" b1101000110100 :# b1101000110100 ^# b1101000110100 '% b10000001010100 a# b10000001010100 $% b10000001010100 _# b10000001010100 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b10110 /% b1010 0% b1001 3% b11 7% b100 8% b110111 4% 0>% b1000111100 U# b1000111100 &$ b1000111100 <% b1000111100 G% b111011001010100010010110111 T# b111011001010100010010110111 %$ b111011001010100010010110111 =% b111011001010100010010110111 H% b1101000110100 *% b11010011101011000001000000 i$ b10000001010000 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b1101000110100 h# b1101000110100 J$ b1101000110100 u$ b10000001010000 i# b10000001010000 K$ b10000001010000 t$ b10101 b$ b10101 a$ b1 `$ b100 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000001010100 W b10000001010100 '# b10000001010100 3# b1 ` b1 $# b1 <# b1 T% 0b b10101101 >$ b1000111100 C# b1000111100 6% b1000111100 V% b111011001010100010010110111 B# b111011001010100010010110111 5% b111011001010100010010110111 W% b1101000110100 F# b1101000110100 d$ b1101000110100 Q% b10000001010000 G# b10000001010000 e$ b10000001010000 P% b0 E# b0 c$ b0 R% 0D# b1000111000 I# b1000111000 n$ b1000111000 N% b1010100001010001000100011 H# b1010100001010001000100011 m$ b1010100001010001000100011 O% b0 "# 1' #3500000 0' #3510000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b111011001010100000000000000 [# b111011001010100000000000000 _$ b111011001010100000000000000 `% 0c 0]# 0`# 1Z# b1 j$ b10 k$ b0 h$ b111011001010100000000000000 ,% 0(% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 g b0 !# b0 =# b1001 \# b1001 ^$ b1001 _% b0 N# b0 C% b0 K% 0M# b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b0 *% b0 i$ b0 )% b1001 f# b1001 H$ b1001 z$ b100 g# b100 I$ b100 y$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b10110 b$ b1110110 a$ b1010 `$ b1001 f$ b11 o$ b100 p$ b110111 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b1001 +% 1-% b0 /% b0 0% b0 3% b0 7% b0 8% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b1001 E# b1001 c$ b1001 R% 1D# b1000111100 I# b1000111100 n$ b1000111100 N% b111011001010100010010110111 H# b111011001010100010010110111 m$ b111011001010100010010110111 O% b1 B# b1 5% b1 W% b10101110 >$ 1' #3520000 0' #3530000 b0 j$ b0 k$ 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b110010000101001000010010010011 V b110010000101001000010010010011 {" b110010000101001000010010010011 5# b0 f# b0 H$ b0 z$ b0 g# b0 I$ b0 y$ b0 b$ b0 a$ b0 `$ b0 f$ b0 o$ b0 p$ b1 g$ b0 ,% b0 +% 0-% b110010000101001000010010010011 ` b110010000101001000010010010011 $# b110010000101001000010010010011 <# b110010000101001000010010010011 T% 1b b1001000000 W b1001000000 '# b1001000000 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1001000000 a b1001000000 }" b1001000000 ;# b1001000000 @# b1001000000 U% b1001000000 Z% b10101111 >$ b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b1 "# 1' #3540000 0' #3550000 b111011001010100000000000000 Q# b111011001010100000000000000 @% b111011001010100000000000000 I% b111011001010100000000000000 ?# b111011001010100000000000000 2% b111011001010100000000000000 b% b1001 R# b1001 ?% b1001 ]% b1001 N# b1001 C% b1001 K% 1M# b11111111111100000000110100010011 V b11111111111100000000110100010011 {" b11111111111100000000110100010011 5# b1 /% b1001 0% b1001 3% b11001 7% b10011 4% b1001000000 U# b1001000000 &$ b1001000000 <% b1001000000 G% b110010000101001000010010010011 T# b110010000101001000010010010011 %$ b110010000101001000010010010011 =% b110010000101001000010010010011 H% 1T b1001000100 W b1001000100 '# b1001000100 3# b11111111111100000000110100010011 ` b11111111111100000000110100010011 $# b11111111111100000000110100010011 <# b11111111111100000000110100010011 T% 1b b1001000000 C# b1001000000 6% b1001000000 V% b110010000101001000010010010011 B# b110010000101001000010010010011 5% b110010000101001000010010010011 W% b10110000 >$ b1001000100 a b1001000100 }" b1001000100 ;# b1001000100 @# b1001000100 U% b1001000100 Z% 1' #3560000 0' #3570000 b0 Q# b0 @% b0 I% b0 ?# b0 2% b0 b% b111011001010100001100100001 [# b111011001010100001100100001 _$ b111011001010100001100100001 `% 1Z# b1101001001000101100110011 V b1101001001000101100110011 {" b1101001001000101100110011 5# b0 R# b0 ?% b0 ]% b11010 N# b11010 C% b11010 K% b1 j$ b1 k$ b111011001010100001100100001 ,% b1001 \# b1001 ^$ b1001 _% 1T b1001001000 W b1001001000 '# b1001001000 3# b1101001001000101100110011 ` b1101001001000101100110011 $# b1101001001000101100110011 <# b1101001001000101100110011 T% 1b b11111 /% b0 0% b11010 3% b1111111 7% b1001000100 U# b1001000100 &$ b1001000100 <% b1001000100 G% b11111111111100000000110100010011 T# b11111111111100000000110100010011 %$ b11111111111100000000110100010011 =% b11111111111100000000110100010011 H% b111011001010100000000000000 )% b1001 f# b1001 H$ b1001 z$ b111011001010100000000000000 i# b111011001010100000000000000 K$ b111011001010100000000000000 t$ b1 b$ b1100100001 a$ b1001 `$ b1001 f$ b11001 o$ b10011 g$ b1001 +% 1-% b1001001000 a b1001001000 }" b1001001000 ;# b1001001000 @# b1001001000 U% b1001001000 Z% b10110001 >$ b1001000100 C# b1001000100 6% b1001000100 V% b11111111111100000000110100010011 B# b11111111111100000000110100010011 5% b11111111111100000000110100010011 W% b111011001010100000000000000 G# b111011001010100000000000000 e$ b111011001010100000000000000 P% b1001 E# b1001 c$ b1001 R% 1D# b1001000000 I# b1001000000 n$ b1001000000 N% b110010000101001000010010010011 H# b110010000101001000010010010011 m$ b110010000101001000010010010011 O% 1' #3580000 0' #3590000 b11111111111111111111111111111111 O# b11111111111111111111111111111111 B% b11111111111111111111111111111111 J% b111011001010100001100100001 Q# b111011001010100001100100001 @% b111011001010100001100100001 I% b11111111111111111111111111111111 ># b11111111111111111111111111111111 1% b11111111111111111111111111111111 c% b111011001010100001100100001 ?# b111011001010100001100100001 2% b111011001010100001100100001 b% b10 j$ b11 k$ b11111111111111111111111111111111 [# b11111111111111111111111111111111 _$ b11111111111111111111111111111111 `% b11010 \# b11010 ^$ b11010 _% b11010 P# b11010 A% b11010 ^% b1001 R# b1001 ?% b1001 ]% b10110 N# b10110 C% b10110 K% b1011000001010010000100011 V b1011000001010010000100011 {" b1011000001010010000100011 5# b0 )% b11010 f# b11010 H$ b11010 z$ b0 i# b0 K$ b0 t$ b11111 b$ b11111111111111111111111111111111 a$ b0 `$ b11010 f$ b1111111 o$ b11111111111111111111111111111111 ,% b11010 +% b11010 /% b1001 0% b10110 3% b0 7% b110011 4% b1001001000 U# b1001001000 &$ b1001001000 <% b1001001000 G% b1101001001000101100110011 T# b1101001001000101100110011 %$ b1101001001000101100110011 =% b1101001001000101100110011 H% 1T b1001001100 W b1001001100 '# b1001001100 3# b1011000001010010000100011 ` b1011000001010010000100011 $# b1011000001010010000100011 <# b1011000001010010000100011 T% 1b b0 G# b0 e$ b0 P% b11010 E# b11010 c$ b11010 R% b1001000100 I# b1001000100 n$ b1001000100 N% b11111111111100000000110100010011 H# b11111111111100000000110100010011 m$ b11111111111100000000110100010011 O% b1001001000 C# b1001001000 6% b1001001000 V% b1101001001000101100110011 B# b1101001001000101100110011 5% b1101001001000101100110011 W% b10110010 >$ b1001001100 a b1001001100 }" b1001001100 ;# b1001001100 @# b1001001100 U% b1001001100 Z% 1' #3600000 0' #3610000 b111011001010100001100100000 O# b111011001010100001100100000 B% b111011001010100001100100000 J% b10000001010000 Q# b10000001010000 @% b10000001010000 I% b111011001010100001100100000 ># b111011001010100001100100000 1% b111011001010100001100100000 c% b10000001010000 ?# b10000001010000 2% b10000001010000 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b10000000000000000000010000110111 V b10000000000000000000010000110111 {" b10000000000000000000010000110111 5# 1>% b0 N# b0 C% b0 K% 0M# b10110 P# b10110 A% b10110 ^% b1 R# b1 ?% b1 ]% b11 j$ b1111100010011010101111001101111100000111011001010100001100100001 h$ b111011001010100001100100000 [# b111011001010100001100100000 _$ b111011001010100001100100000 `% b10110 \# b10110 ^$ b10110 _% 1T b1001010000 W b1001010000 '# b1001010000 3# b10000000000000000000010000110111 ` b10000000000000000000010000110111 $# b10000000000000000000010000110111 <# b10000000000000000000010000110111 T% 1b b10110 /% b1 0% b1000 3% b10 8% b100011 4% b1001001100 U# b1001001100 &$ b1001001100 <% b1001001100 G% b1011000001010010000100011 T# b1011000001010010000100011 %$ b1011000001010010000100011 =% b1011000001010010000100011 H% b11101100101010000110010000011111000100110101011110011011111 i$ b11111111111111111111111111111111 *% b111011001010100001100100001 )% b10110 f# b10110 H$ b10110 z$ b11111111111111111111111111111111 h# b11111111111111111111111111111111 J$ b11111111111111111111111111111111 u$ b111011001010100001100100001 i# b111011001010100001100100001 K$ b111011001010100001100100001 t$ b11010 b$ b11010 a$ b1001 `$ b10110 f$ b0 o$ b110011 g$ b111011001010100001100100000 ,% b10110 +% b1001010000 a b1001010000 }" b1001010000 ;# b1001010000 @# b1001010000 U% b1001010000 Z% b11111111111111111111111111111111 $ b10110011 >$ b1001001100 C# b1001001100 6% b1001001100 V% b1011000001010010000100011 B# b1011000001010010000100011 5% b1011000001010010000100011 W% b11111111111111111111111111111111 F# b11111111111111111111111111111111 d$ b11111111111111111111111111111111 Q% b111011001010100001100100001 G# b111011001010100001100100001 e$ b111011001010100001100100001 P% b10110 E# b10110 c$ b10110 R% b1001001000 I# b1001001000 n$ b1001001000 N% b1101001001000101100110011 H# b1101001001000101100110011 m$ b1101001001000101100110011 O% 1' #3620000 0' #3630000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# b111011001010100001100100000 U b111011001010100001100100000 (# b111011001010100001100100000 4# 1S b10000001011000 g b10000001011000 !# b10000001011000 =# 1`# 1c 1]# 0Z# b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b0 j$ b10 k$ b1111111111111111111111110001000100000111111101110000011000000000 h$ b111011001010100001100100000 f b111011001010100001100100000 ~" b111011001010100001100100000 :# b111011001010100001100100000 ^# b111011001010100001100100000 '% b10000001011000 a# b10000001011000 $% b10000001011000 _# b10000001011000 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b1000 N# b1000 C% b1000 K% 1M# b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000001011000 W b10000001011000 '# b10000001011000 3# b1 ` b1 $# b1 <# b1 T% 0b b111011001010100001100100000 *% b1110111011111000000010001111101000000000 i$ b10000001010000 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b111011001010100001100100000 h# b111011001010100001100100000 J$ b111011001010100001100100000 u$ b10000001010000 i# b10000001010000 K$ b10000001010000 t$ b10110 b$ b10110 a$ b1 `$ b1000 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b0 /% b0 0% b1000000 7% b0 8% b110111 4% 0>% b1001010000 U# b1001010000 &$ b1001010000 <% b1001010000 G% b10000000000000000000010000110111 T# b10000000000000000000010000110111 %$ b10000000000000000000010000110111 =% b10000000000000000000010000110111 H% 0t b0 "# b111011001010100001100100000 F# b111011001010100001100100000 d$ b111011001010100001100100000 Q% b10000001010000 G# b10000001010000 e$ b10000001010000 P% b0 E# b0 c$ b0 R% 0D# b1001001100 I# b1001001100 n$ b1001001100 N% b1011000001010010000100011 H# b1011000001010010000100011 m$ b1011000001010010000100011 O% b1001010000 C# b1001010000 6% b1001010000 V% b10000000000000000000010000110111 B# b10000000000000000000010000110111 5% b10000000000000000000010000110111 W% b10110100 >$ 1' #3640000 0' #3650000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b10000000000000000000000000000000 [# b10000000000000000000000000000000 _$ b10000000000000000000000000000000 `% 0c 0]# 0`# 1Z# b0 N# b0 C% b0 K% 0M# b0 k$ b0 h$ b10000000000000000000000000000000 ,% 0(% b0 g b0 !# b0 =# b1000 \# b1000 ^$ b1000 _% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 3% b0 7% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 *% b0 i$ b0 )% b1000 f# b1000 H$ b1000 z$ b0 g# b0 I$ b0 y$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b0 b$ b11111111111111111111100000000000 a$ b0 `$ b1000000 o$ b0 p$ b110111 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b1000 +% 1-% b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b10110101 >$ b1 B# b1 5% b1 W% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b1000 E# b1000 c$ b1000 R% 1D# b1001010000 I# b1001010000 n$ b1001010000 N% b10000000000000000000010000110111 H# b10000000000000000000010000110111 m$ b10000000000000000000010000110111 O% 1' #3660000 0' #3670000 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11111111111101000000010000010011 V b11111111111101000000010000010011 {" b11111111111101000000010000010011 5# b0 f# b0 H$ b0 z$ b0 a$ b0 f$ b0 o$ b1 g$ b0 ,% b0 +% 0-% b11111111111101000000010000010011 ` b11111111111101000000010000010011 $# b11111111111101000000010000010011 <# b11111111111101000000010000010011 T% 1b b1001010100 W b1001010100 '# b1001010100 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1 "# b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b10110110 >$ b1001010100 a b1001010100 }" b1001010100 ;# b1001010100 @# b1001010100 U% b1001010100 Z% 1' #3680000 0' #3690000 b10000000000000000000000000000000 Q# b10000000000000000000000000000000 @% b10000000000000000000000000000000 I% b10000000000000000000000000000000 ?# b10000000000000000000000000000000 2% b10000000000000000000000000000000 b% b100000000110010010011 V b100000000110010010011 {" b100000000110010010011 5# b1000 R# b1000 ?% b1000 ]% b1000 N# b1000 C% b1000 K% 1M# 1T b1001011000 W b1001011000 '# b1001011000 3# b100000000110010010011 ` b100000000110010010011 $# b100000000110010010011 <# b100000000110010010011 T% 1b b11111 /% b1000 0% b1000 3% b1111111 7% b10011 4% b1001010100 U# b1001010100 &$ b1001010100 <% b1001010100 G% b11111111111101000000010000010011 T# b11111111111101000000010000010011 %$ b11111111111101000000010000010011 =% b11111111111101000000010000010011 H% b1001011000 a b1001011000 }" b1001011000 ;# b1001011000 @# b1001011000 U% b1001011000 Z% b10110111 >$ b1001010100 C# b1001010100 6% b1001010100 V% b11111111111101000000010000010011 B# b11111111111101000000010000010011 5% b11111111111101000000010000010011 W% 1' #3700000 0' #3710000 b0 Q# b0 @% b0 I% b0 ?# b0 2% b0 b% b1111111111111111111111111111111 [# b1111111111111111111111111111111 _$ b1111111111111111111111111111111 `% 1Z# b11 k$ b1111111111111111111111111111111 ,% b1000 \# b1000 ^$ b1000 _% b0 R# b0 ?% b0 ]% b11001 N# b11001 C% b11001 K% b1100101000000101110110011 V b1100101000000101110110011 {" b1100101000000101110110011 5# b10000000000000000000000000000000 )% b1000 f# b1000 H$ b1000 z$ b10000000000000000000000000000000 i# b10000000000000000000000000000000 K$ b10000000000000000000000000000000 t$ b11111 b$ b11111111111111111111111111111111 a$ b1000 `$ b1000 f$ b1111111 o$ b10011 g$ b1000 +% 1-% b1 /% b0 0% b11001 3% b0 7% b1001011000 U# b1001011000 &$ b1001011000 <% b1001011000 G% b100000000110010010011 T# b100000000110010010011 %$ b100000000110010010011 =% b100000000110010010011 H% 1T b1001011100 W b1001011100 '# b1001011100 3# b1100101000000101110110011 ` b1100101000000101110110011 $# b1100101000000101110110011 <# b1100101000000101110110011 T% 1b b10000000000000000000000000000000 G# b10000000000000000000000000000000 e$ b10000000000000000000000000000000 P% b1000 E# b1000 c$ b1000 R% 1D# b1001010100 I# b1001010100 n$ b1001010100 N% b11111111111101000000010000010011 H# b11111111111101000000010000010011 m$ b11111111111101000000010000010011 O% b1001011000 C# b1001011000 6% b1001011000 V% b100000000110010010011 B# b100000000110010010011 5% b100000000110010010011 W% b10111000 >$ b1001011100 a b1001011100 }" b1001011100 ;# b1001011100 @# b1001011100 U% b1001011100 Z% 1' #3720000 0' #3730000 b1 O# b1 B% b1 J% b1111111111111111111111111111111 Q# b1111111111111111111111111111111 @% b1111111111111111111111111111111 I% b1 ># b1 1% b1 c% b1111111111111111111111111111111 ?# b1111111111111111111111111111111 2% b1111111111111111111111111111111 b% b1011100001010011000100011 V b1011100001010011000100011 {" b1011100001010011000100011 5# b11001 P# b11001 A% b11001 ^% b1000 R# b1000 ?% b1000 ]% b10111 N# b10111 C% b10111 K% b1 j$ b1 k$ b1 [# b1 _$ b1 `% b11001 \# b11001 ^$ b11001 _% 1T b1001100000 W b1001100000 '# b1001100000 3# b1011100001010011000100011 ` b1011100001010011000100011 $# b1011100001010011000100011 <# b1011100001010011000100011 T% 1b b11001 /% b1000 0% b10111 3% b110011 4% b1001011100 U# b1001011100 &$ b1001011100 <% b1001011100 G% b1100101000000101110110011 T# b1100101000000101110110011 %$ b1100101000000101110110011 =% b1100101000000101110110011 H% b0 )% b11001 f# b11001 H$ b11001 z$ b0 i# b0 K$ b0 t$ b1 b$ b1 a$ b0 `$ b11001 f$ b0 o$ b1 ,% b11001 +% b1001100000 a b1001100000 }" b1001100000 ;# b1001100000 @# b1001100000 U% b1001100000 Z% b10111001 >$ b1001011100 C# b1001011100 6% b1001011100 V% b1100101000000101110110011 B# b1100101000000101110110011 5% b1100101000000101110110011 W% b0 G# b0 e$ b0 P% b11001 E# b11001 c$ b11001 R% b1001011000 I# b1001011000 n$ b1001011000 N% b100000000110010010011 H# b100000000110010010011 m$ b100000000110010010011 O% 1' #3740000 0' #3750000 b10000000000000000000000000000000 O# b10000000000000000000000000000000 B% b10000000000000000000000000000000 J% b10000001010000 Q# b10000001010000 @% b10000001010000 I% b10000000000000000000000000000000 ># b10000000000000000000000000000000 1% b10000000000000000000000000000000 c% b10000001010000 ?# b10000001010000 2% b10000001010000 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b10 j$ b0 k$ b1111111111111111111111111111111110000000000000000000000000000001 h$ b10000000000000000000000000000000 [# b10000000000000000000000000000000 _$ b10000000000000000000000000000000 `% b10111 \# b10111 ^$ b10111 _% 1>% b0 N# b0 C% b0 K% 0M# b10111 P# b10111 A% b10111 ^% b1 R# b1 ?% b1 ]% b100000000001110010011 V b100000000001110010011 {" b100000000001110010011 5# b1111111111111111111111111111111 i$ b1 *% b1111111111111111111111111111111 )% b10111 f# b10111 H$ b10111 z$ b1 h# b1 J$ b1 u$ b1111111111111111111111111111111 i# b1111111111111111111111111111111 K$ b1111111111111111111111111111111 t$ b11001 b$ b11001 a$ b1000 `$ b10111 f$ b110011 g$ b10000000000000000000000000000000 ,% b10111 +% b10111 /% b1 0% b1100 3% b10 8% b100011 4% b1001100000 U# b1001100000 &$ b1001100000 <% b1001100000 G% b1011100001010011000100011 T# b1011100001010011000100011 %$ b1011100001010011000100011 =% b1011100001010011000100011 H% 1T b1001100100 W b1001100100 '# b1001100100 3# b100000000001110010011 ` b100000000001110010011 $# b100000000001110010011 <# b100000000001110010011 T% 1b b1 F# b1 d$ b1 Q% b1111111111111111111111111111111 G# b1111111111111111111111111111111 e$ b1111111111111111111111111111111 P% b10111 E# b10111 c$ b10111 R% b1001011100 I# b1001011100 n$ b1001011100 N% b1100101000000101110110011 H# b1100101000000101110110011 m$ b1100101000000101110110011 O% b1001100000 C# b1001100000 6% b1001100000 V% b1011100001010011000100011 B# b1011100001010011000100011 5% b1011100001010011000100011 W% b10111010 >$ b1001100100 a b1001100100 }" b1001100100 ;# b1001100100 @# b1001100100 U% b1001100100 Z% 1' #3760000 0' #3770000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# 1S b10000001011100 g b10000001011100 !# b10000001011100 =# 1`# 1c 1]# 0Z# b10000000000000000000000000000000 U b10000000000000000000000000000000 (# b10000000000000000000000000000000 4# b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b111 N# b111 C% b111 K% 1M# b0 j$ b11 k$ b1111111111111111111011111101100000000000000000000000000000000000 h$ b10000000000000000000000000000000 f b10000000000000000000000000000000 ~" b10000000000000000000000000000000 :# b10000000000000000000000000000000 ^# b10000000000000000000000000000000 '% b10000001011100 a# b10000001011100 $% b10000001011100 _# b10000001011100 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b1 /% b0 0% b111 3% b0 8% b10011 4% 0>% b1001100100 U# b1001100100 &$ b1001100100 <% b1001100100 G% b100000000001110010011 T# b100000000001110010011 %$ b100000000001110010011 =% b100000000001110010011 H% b10000000000000000000000000000000 *% b100000010100000000000000000000000000000000000 i$ b10000001010000 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b10000000000000000000000000000000 h# b10000000000000000000000000000000 J$ b10000000000000000000000000000000 u$ b10000001010000 i# b10000001010000 K$ b10000001010000 t$ b10111 b$ b10111 a$ b1 `$ b1100 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000001011100 W b10000001011100 '# b10000001011100 3# b1 ` b1 $# b1 <# b1 T% 0b b10111011 >$ b1001100100 C# b1001100100 6% b1001100100 V% b100000000001110010011 B# b100000000001110010011 5% b100000000001110010011 W% b10000000000000000000000000000000 F# b10000000000000000000000000000000 d$ b10000000000000000000000000000000 Q% b10000001010000 G# b10000001010000 e$ b10000001010000 P% b0 E# b0 c$ b0 R% 0D# b1001100000 I# b1001100000 n$ b1001100000 N% b1011100001010011000100011 H# b1011100001010011000100011 m$ b1011100001010011000100011 O% b0 "# 1' #3780000 0' #3790000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b1 [# b1 _$ b1 `% 0c 0]# 0`# 1Z# b11 j$ b1 k$ b0 h$ b1 ,% 0(% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 g b0 !# b0 =# b111 \# b111 ^$ b111 _% b0 N# b0 C% b0 K% 0M# b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b0 *% b0 i$ b0 )% b111 f# b111 H$ b111 z$ b0 g# b0 I$ b0 y$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b1 b$ b1 a$ b0 `$ b111 f$ b0 p$ b10011 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b111 +% 1-% b0 /% b0 3% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b111 E# b111 c$ b111 R% 1D# b1001100100 I# b1001100100 n$ b1001100100 N% b100000000001110010011 H# b100000000001110010011 m$ b100000000001110010011 O% b1 B# b1 5% b1 W% b10111100 >$ 1' #3800000 0' #3810000 b0 j$ b0 k$ 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b10000000000000000000110000110111 V b10000000000000000000110000110111 {" b10000000000000000000110000110111 5# b0 f# b0 H$ b0 z$ b0 b$ b0 a$ b0 f$ b1 g$ b0 ,% b0 +% 0-% b10000000000000000000110000110111 ` b10000000000000000000110000110111 $# b10000000000000000000110000110111 <# b10000000000000000000110000110111 T% 1b b1001101000 W b1001101000 '# b1001101000 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1001101000 a b1001101000 }" b1001101000 ;# b1001101000 @# b1001101000 U% b1001101000 Z% b10111101 >$ b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b1 "# 1' #3820000 0' #3830000 b11000 N# b11000 C% b11000 K% 1M# b11111111111111000000110000010011 V b11111111111111000000110000010011 {" b11111111111111000000110000010011 5# b11000 3% b1000000 7% b110111 4% b1001101000 U# b1001101000 &$ b1001101000 <% b1001101000 G% b10000000000000000000110000110111 T# b10000000000000000000110000110111 %$ b10000000000000000000110000110111 =% b10000000000000000000110000110111 H% 1T b1001101100 W b1001101100 '# b1001101100 3# b11111111111111000000110000010011 ` b11111111111111000000110000010011 $# b11111111111111000000110000010011 <# b11111111111111000000110000010011 T% 1b b1001101000 C# b1001101000 6% b1001101000 V% b10000000000000000000110000110111 B# b10000000000000000000110000110111 5% b10000000000000000000110000110111 W% b10111110 >$ b1001101100 a b1001101100 }" b1001101100 ;# b1001101100 @# b1001101100 U% b1001101100 Z% 1' #3840000 0' #3850000 b10000000000000000000000000000000 Q# b10000000000000000000000000000000 @% b10000000000000000000000000000000 I% b10000000000000000000000000000000 ?# b10000000000000000000000000000000 2% b10000000000000000000000000000000 b% b10000000000000000000000000000000 [# b10000000000000000000000000000000 _$ b10000000000000000000000000000000 `% 1Z# b1100000111000110000110011 V b1100000111000110000110011 {" b1100000111000110000110011 5# b11000 R# b11000 ?% b11000 ]% b10000000000000000000000000000000 ,% b11000 \# b11000 ^$ b11000 _% 1T b1001110000 W b1001110000 '# b1001110000 3# b1100000111000110000110011 ` b1100000111000110000110011 $# b1100000111000110000110011 <# b1100000111000110000110011 T% 1b b11111 /% b11000 0% b1111111 7% b10011 4% b1001101100 U# b1001101100 &$ b1001101100 <% b1001101100 G% b11111111111111000000110000010011 T# b11111111111111000000110000010011 %$ b11111111111111000000110000010011 =% b11111111111111000000110000010011 H% b11000 f# b11000 H$ b11000 z$ b11111111111111111111100000000000 a$ b11000 f$ b1000000 o$ b110111 g$ b11000 +% 1-% b1001110000 a b1001110000 }" b1001110000 ;# b1001110000 @# b1001110000 U% b1001110000 Z% b10111111 >$ b1001101100 C# b1001101100 6% b1001101100 V% b11111111111111000000110000010011 B# b11111111111111000000110000010011 5% b11111111111111000000110000010011 W% b11000 E# b11000 c$ b11000 R% 1D# b1001101000 I# b1001101000 n$ b1001101000 N% b10000000000000000000110000110111 H# b10000000000000000000110000110111 m$ b10000000000000000000110000110111 O% 1' #3860000 0' #3870000 b1111111111111111111111111111111 O# b1111111111111111111111111111111 B% b1111111111111111111111111111111 J% b1 Q# b1 @% b1 I% b1111111111111111111111111111111 ># b1111111111111111111111111111111 1% b1111111111111111111111111111111 c% b1 ?# b1 2% b1 b% b11 k$ b1111111111111111111111111111111 [# b1111111111111111111111111111111 _$ b1111111111111111111111111111111 `% b11000 P# b11000 A% b11000 ^% b111 R# b111 ?% b111 ]% b1100000001010100000100011 V b1100000001010100000100011 {" b1100000001010100000100011 5# b10000000000000000000000000000000 )% b10000000000000000000000000000000 i# b10000000000000000000000000000000 K$ b10000000000000000000000000000000 t$ b11111 b$ b11111111111111111111111111111111 a$ b11000 `$ b1111111 o$ b10011 g$ b1111111111111111111111111111111 ,% b11000 /% b111 0% b0 7% b110011 4% b1001110000 U# b1001110000 &$ b1001110000 <% b1001110000 G% b1100000111000110000110011 T# b1100000111000110000110011 %$ b1100000111000110000110011 =% b1100000111000110000110011 H% 1T b1001110100 W b1001110100 '# b1001110100 3# b1100000001010100000100011 ` b1100000001010100000100011 $# b1100000001010100000100011 <# b1100000001010100000100011 T% 1b b10000000000000000000000000000000 G# b10000000000000000000000000000000 e$ b10000000000000000000000000000000 P% b1001101100 I# b1001101100 n$ b1001101100 N% b11111111111111000000110000010011 H# b11111111111111000000110000010011 m$ b11111111111111000000110000010011 O% b1001110000 C# b1001110000 6% b1001110000 V% b1100000111000110000110011 B# b1100000111000110000110011 5% b1100000111000110000110011 W% b11000000 >$ b1001110100 a b1001110100 }" b1001110100 ;# b1001110100 @# b1001110100 U% b1001110100 Z% 1' #3880000 0' #3890000 b10000000000000000000000000000000 O# b10000000000000000000000000000000 B% b10000000000000000000000000000000 J% b10000001010000 Q# b10000001010000 @% b10000001010000 I% b10000000000000000000000000000000 ># b10000000000000000000000000000000 1% b10000000000000000000000000000000 c% b10000001010000 ?# b10000001010000 2% b10000001010000 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b10000010010111 V b10000010010111 {" b10000010010111 5# 1>% b0 N# b0 C% b0 K% 0M# b1 R# b1 ?% b1 ]% b1 j$ b1 k$ b1111111111111111111111111111111110000000000000000000000000000001 h$ b10000000000000000000000000000000 [# b10000000000000000000000000000000 _$ b10000000000000000000000000000000 `% 1T b1001111000 W b1001111000 '# b1001111000 3# b10000010010111 ` b10000010010111 $# b10000010010111 <# b10000010010111 T% 1b b1 0% b10000 3% b10 8% b100011 4% b1001110100 U# b1001110100 &$ b1001110100 <% b1001110100 G% b1100000001010100000100011 T# b1100000001010100000100011 %$ b1100000001010100000100011 =% b1100000001010100000100011 H% b1111111111111111111111111111111 i$ b1111111111111111111111111111111 *% b1 )% b1111111111111111111111111111111 h# b1111111111111111111111111111111 J$ b1111111111111111111111111111111 u$ b1 i# b1 K$ b1 t$ b11000 b$ b11000 a$ b111 `$ b0 o$ b110011 g$ b10000000000000000000000000000000 ,% b1001111000 a b1001111000 }" b1001111000 ;# b1001111000 @# b1001111000 U% b1001111000 Z% b11000001 >$ b1001110100 C# b1001110100 6% b1001110100 V% b1100000001010100000100011 B# b1100000001010100000100011 5% b1100000001010100000100011 W% b1111111111111111111111111111111 F# b1111111111111111111111111111111 d$ b1111111111111111111111111111111 Q% b1 G# b1 e$ b1 P% b1001110000 I# b1001110000 n$ b1001110000 N% b1100000111000110000110011 H# b1100000111000110000110011 m$ b1100000111000110000110011 O% 1' #3900000 0' #3910000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# b10000000000000000000000000000000 U b10000000000000000000000000000000 (# b10000000000000000000000000000000 4# 1S b10000001100000 g b10000001100000 !# b10000001100000 =# 1`# 1c 1]# 0Z# b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b0 j$ b0 k$ b1111111111111111111011111101100000000000000000000000000000000000 h$ b10000000000000000000000000000000 f b10000000000000000000000000000000 ~" b10000000000000000000000000000000 :# b10000000000000000000000000000000 ^# b10000000000000000000000000000000 '% b10000001100000 a# b10000001100000 $% b10000001100000 _# b10000001100000 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b1 N# b1 C% b1 K% 1M# b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000001100000 W b10000001100000 '# b10000001100000 3# b1 ` b1 $# b1 <# b1 T% 0b b10000000000000000000000000000000 *% b100000010100000000000000000000000000000000000 i$ b10000001010000 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b10000000000000000000000000000000 h# b10000000000000000000000000000000 J$ b10000000000000000000000000000000 u$ b10000001010000 i# b10000001010000 K$ b10000001010000 t$ b1 `$ b10000 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b0 /% b0 0% b1 3% b10111 4% 0>% b1001111000 U# b1001111000 &$ b1001111000 <% b1001111000 G% b10000010010111 T# b10000010010111 %$ b10000010010111 =% b10000010010111 H% b0 "# b10000000000000000000000000000000 F# b10000000000000000000000000000000 d$ b10000000000000000000000000000000 Q% b10000001010000 G# b10000001010000 e$ b10000001010000 P% b0 E# b0 c$ b0 R% 0D# b1001110100 I# b1001110100 n$ b1001110100 N% b1100000001010100000100011 H# b1100000001010100000100011 m$ b1100000001010100000100011 O% b1001111000 C# b1001111000 6% b1001111000 V% b10000010010111 B# b10000010010111 5% b10000010010111 W% b11000010 >$ 1' #3920000 0' #3930000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b10001001111000 [# b10001001111000 _$ b10001001111000 `% 0c 0]# 0`# 1Z# b0 N# b0 C% b0 K% 0M# b1 j$ b0 h$ b10001001111000 ,% 0(% b0 g b0 !# b0 =# b1 \# b1 ^$ b1 _% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 3% b0 8% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 *% b0 i$ b0 )% b1 f# b1 H$ b1 z$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b0 b$ b0 a$ b0 `$ b1 f$ b10111 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b1 +% 1-% b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b11000011 >$ b1 B# b1 5% b1 W% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b1 E# b1 c$ b1 R% 1D# b1001111000 I# b1001111000 n$ b1001111000 N% b10000010010111 H# b10000010010111 m$ b10000010010111 O% 1' #3940000 0' #3950000 b0 j$ 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11011110110000001000000010010011 V b11011110110000001000000010010011 {" b11011110110000001000000010010011 5# b0 f# b0 H$ b0 z$ b0 g# b0 I$ b0 y$ b0 f$ b0 p$ b1 g$ b0 ,% b0 +% 0-% b11011110110000001000000010010011 ` b11011110110000001000000010010011 $# b11011110110000001000000010010011 <# b11011110110000001000000010010011 T% 1b b1001111100 W b1001111100 '# b1001111100 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1 "# b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b11000100 >$ b1001111100 a b1001111100 }" b1001111100 ;# b1001111100 @# b1001111100 U% b1001111100 Z% 1' #3960000 0' #3970000 b10001001111000 Q# b10001001111000 @% b10001001111000 I% b10001001111000 ?# b10001001111000 2% b10001001111000 b% b11111111111100000000001100010011 V b11111111111100000000001100010011 {" b11111111111100000000001100010011 5# b1 R# b1 ?% b1 ]% b1 N# b1 C% b1 K% 1M# 1T b1010000000 W b1010000000 '# b1010000000 3# b11111111111100000000001100010011 ` b11111111111100000000001100010011 $# b11111111111100000000001100010011 <# b11111111111100000000001100010011 T% 1b b1100 /% b1 0% b1 3% b1101111 7% b10011 4% b1001111100 U# b1001111100 &$ b1001111100 <% b1001111100 G% b11011110110000001000000010010011 T# b11011110110000001000000010010011 %$ b11011110110000001000000010010011 =% b11011110110000001000000010010011 H% b1010000000 a b1010000000 }" b1010000000 ;# b1010000000 @# b1010000000 U% b1010000000 Z% b11000101 >$ b1001111100 C# b1001111100 6% b1001111100 V% b11011110110000001000000010010011 B# b11011110110000001000000010010011 5% b11011110110000001000000010010011 W% 1' #3980000 0' #3990000 b0 Q# b0 @% b0 I% b0 ?# b0 2% b0 b% b10000001100100 [# b10000001100100 _$ b10000001100100 `% 1Z# b1 j$ b10000001100100 ,% b1 \# b1 ^$ b1 _% b0 R# b0 ?% b0 ]% b110 N# b110 C% b110 K% b111011001010100101110110111 V b111011001010100101110110111 {" b111011001010100101110110111 5# b10001001111000 )% b1 f# b1 H$ b1 z$ b10001001111000 i# b10001001111000 K$ b10001001111000 t$ b1100 b$ b11111111111111111111110111101100 a$ b1 `$ b1 f$ b1101111 o$ b10011 g$ b1 +% 1-% b11111 /% b0 0% b110 3% b1111111 7% b1010000000 U# b1010000000 &$ b1010000000 <% b1010000000 G% b11111111111100000000001100010011 T# b11111111111100000000001100010011 %$ b11111111111100000000001100010011 =% b11111111111100000000001100010011 H% 1T b1010000100 W b1010000100 '# b1010000100 3# b111011001010100101110110111 ` b111011001010100101110110111 $# b111011001010100101110110111 <# b111011001010100101110110111 T% 1b b10001001111000 G# b10001001111000 e$ b10001001111000 P% b1 E# b1 c$ b1 R% 1D# b1001111100 I# b1001111100 n$ b1001111100 N% b11011110110000001000000010010011 H# b11011110110000001000000010010011 m$ b11011110110000001000000010010011 O% b1010000000 C# b1010000000 6% b1010000000 V% b11111111111100000000001100010011 B# b11111111111100000000001100010011 5% b11111111111100000000001100010011 W% b11000110 >$ b1010000100 a b1010000100 }" b1010000100 ;# b1010000100 @# b1010000100 U% b1010000100 Z% 1' #4000000 0' #4010000 b110010000110111000101110010011 V b110010000110111000101110010011 {" b110010000110111000101110010011 5# b10111 N# b10111 C% b10111 K% b10 j$ b11 k$ b11111111111111111111111111111111 [# b11111111111111111111111111111111 _$ b11111111111111111111111111111111 `% b110 \# b110 ^$ b110 _% 1T b1010001000 W b1010001000 '# b1010001000 3# b110010000110111000101110010011 ` b110010000110111000101110010011 $# b110010000110111000101110010011 <# b110010000110111000101110010011 T% 1b b10110 /% b1010 0% b10111 3% b11 7% b100 8% b110111 4% b1010000100 U# b1010000100 &$ b1010000100 <% b1010000100 G% b111011001010100101110110111 T# b111011001010100101110110111 %$ b111011001010100101110110111 =% b111011001010100101110110111 H% b0 )% b110 f# b110 H$ b110 z$ b0 i# b0 K$ b0 t$ b11111 b$ b11111111111111111111111111111111 a$ b0 `$ b110 f$ b1111111 o$ b11111111111111111111111111111111 ,% b110 +% b1010001000 a b1010001000 }" b1010001000 ;# b1010001000 @# b1010001000 U% b1010001000 Z% b11000111 >$ b1010000100 C# b1010000100 6% b1010000100 V% b111011001010100101110110111 B# b111011001010100101110110111 5% b111011001010100101110110111 W% b0 G# b0 e$ b0 P% b110 E# b110 c$ b110 R% b1010000000 I# b1010000000 n$ b1010000000 N% b11111111111100000000001100010011 H# b11111111111100000000001100010011 m$ b11111111111100000000001100010011 O% 1' #4020000 0' #4030000 b111011001010100000000000000 Q# b111011001010100000000000000 @% b111011001010100000000000000 I% b111011001010100000000000000 ?# b111011001010100000000000000 2% b111011001010100000000000000 b% b11 j$ b10 k$ b111011001010100000000000000 [# b111011001010100000000000000 _$ b111011001010100000000000000 `% b10111 \# b10111 ^$ b10111 _% b10111 R# b10111 ?% b10111 ]% b1011100110000110010110011 V b1011100110000110010110011 {" b1011100110000110010110011 5# b10111 f# b10111 H$ b10111 z$ b100 g# b100 I$ b100 y$ b10110 b$ b1110110 a$ b1010 `$ b10111 f$ b11 o$ b100 p$ b110111 g$ b111011001010100000000000000 ,% b10111 +% b1 /% b10111 0% b11001 7% b0 8% b10011 4% b1010001000 U# b1010001000 &$ b1010001000 <% b1010001000 G% b110010000110111000101110010011 T# b110010000110111000101110010011 %$ b110010000110111000101110010011 =% b110010000110111000101110010011 H% 1T b1010001100 W b1010001100 '# b1010001100 3# b1011100110000110010110011 ` b1011100110000110010110011 $# b1011100110000110010110011 <# b1011100110000110010110011 T% 1b b10111 E# b10111 c$ b10111 R% b1010000100 I# b1010000100 n$ b1010000100 N% b111011001010100101110110111 H# b111011001010100101110110111 m$ b111011001010100101110110111 O% b1010001000 C# b1010001000 6% b1010001000 V% b110010000110111000101110010011 B# b110010000110111000101110010011 5% b110010000110111000101110010011 W% b11001000 >$ b1010001100 a b1010001100 }" b1010001100 ;# b1010001100 @# b1010001100 U% b1010001100 Z% 1' #4040000 0' #4050000 b111011001010100001100100001 O# b111011001010100001100100001 B% b111011001010100001100100001 J% b11111111111111111111111111111111 Q# b11111111111111111111111111111111 @% b11111111111111111111111111111111 I% b111011001010100001100100001 ># b111011001010100001100100001 1% b111011001010100001100100001 c% b11111111111111111111111111111111 ?# b11111111111111111111111111111111 2% b11111111111111111111111111111111 b% b1100100001010000000100011 V b1100100001010000000100011 {" b1100100001010000000100011 5# b10111 P# b10111 A% b10111 ^% b110 R# b110 ?% b110 ]% b11001 N# b11001 C% b11001 K% b1 k$ b111011001010100001100100001 [# b111011001010100001100100001 _$ b111011001010100001100100001 `% 1T b1010010000 W b1010010000 '# b1010010000 3# b1100100001010000000100011 ` b1100100001010000000100011 $# b1100100001010000000100011 <# b1100100001010000000100011 T% 1b b10111 /% b110 0% b11001 3% b0 7% b110011 4% b1010001100 U# b1010001100 &$ b1010001100 <% b1010001100 G% b1011100110000110010110011 T# b1011100110000110010110011 %$ b1011100110000110010110011 =% b1011100110000110010110011 H% b111011001010100000000000000 )% b0 g# b0 I$ b0 y$ b111011001010100000000000000 i# b111011001010100000000000000 K$ b111011001010100000000000000 t$ b1 b$ b1100100001 a$ b10111 `$ b11001 o$ b0 p$ b10011 g$ b111011001010100001100100001 ,% b1010010000 a b1010010000 }" b1010010000 ;# b1010010000 @# b1010010000 U% b1010010000 Z% b11001001 >$ b1010001100 C# b1010001100 6% b1010001100 V% b1011100110000110010110011 B# b1011100110000110010110011 5% b1011100110000110010110011 W% b111011001010100000000000000 G# b111011001010100000000000000 e$ b111011001010100000000000000 P% b1010001000 I# b1010001000 n$ b1010001000 N% b110010000110111000101110010011 H# b110010000110111000101110010011 m$ b110010000110111000101110010011 O% 1' #4060000 0' #4070000 b111011001010100001100100000 O# b111011001010100001100100000 B% b111011001010100001100100000 J% b10000001100100 Q# b10000001100100 @% b10000001100100 I% b111011001010100001100100000 ># b111011001010100001100100000 1% b111011001010100001100100000 c% b10000001100100 ?# b10000001100100 2% b10000001100100 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b0 j$ b10 k$ b1111100010011010101111001101111100000111011001010100001100100001 h$ b111011001010100001100100000 [# b111011001010100001100100000 _$ b111011001010100001100100000 `% b11001 \# b11001 ^$ b11001 _% 1>% b0 N# b0 C% b0 K% 0M# b11001 P# b11001 A% b11001 ^% b1 R# b1 ?% b1 ]% b1001010110111 V b1001010110111 {" b1001010110111 5# b11101100101010000110010000011111000100110101011110011011111 i$ b111011001010100001100100001 *% b11111111111111111111111111111111 )% b11001 f# b11001 H$ b11001 z$ b111011001010100001100100001 h# b111011001010100001100100001 J$ b111011001010100001100100001 u$ b11111111111111111111111111111111 i# b11111111111111111111111111111111 K$ b11111111111111111111111111111111 t$ b10111 b$ b10111 a$ b110 `$ b11001 f$ b0 o$ b110011 g$ b111011001010100001100100000 ,% b11001 +% b11001 /% b1 0% b0 3% b10 8% b100011 4% b1010010000 U# b1010010000 &$ b1010010000 <% b1010010000 G% b1100100001010000000100011 T# b1100100001010000000100011 %$ b1100100001010000000100011 =% b1100100001010000000100011 H% 1T b1010010100 W b1010010100 '# b1010010100 3# b1001010110111 ` b1001010110111 $# b1001010110111 <# b1001010110111 T% 1b b111011001010100001100100001 F# b111011001010100001100100001 d$ b111011001010100001100100001 Q% b11111111111111111111111111111111 G# b11111111111111111111111111111111 e$ b11111111111111111111111111111111 P% b11001 E# b11001 c$ b11001 R% b1010001100 I# b1010001100 n$ b1010001100 N% b1011100110000110010110011 H# b1011100110000110010110011 m$ b1011100110000110010110011 O% b1010010000 C# b1010010000 6% b1010010000 V% b1100100001010000000100011 B# b1100100001010000000100011 5% b1100100001010000000100011 W% b11001010 >$ b1010010100 a b1010010100 }" b1010010100 ;# b1010010100 @# b1010010100 U% b1010010100 Z% 1' #4080000 0' #4090000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# 1S b10000001100100 g b10000001100100 !# b10000001100100 =# 1`# 1c 1]# 0Z# b111011001010100001100100000 U b111011001010100001100100000 (# b111011001010100001100100000 4# b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b101 N# b101 C% b101 K% 1M# b1 k$ b1111111111111111111111110001000001110100000011011100011110000000 h$ b111011001010100001100100000 f b111011001010100001100100000 ~" b111011001010100001100100000 :# b111011001010100001100100000 ^# b111011001010100001100100000 '% b10000001100100 a# b10000001100100 $% b10000001100100 _# b10000001100100 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b0 /% b0 0% b101 3% b1 8% b110111 4% 0>% b1010010100 U# b1010010100 &$ b1010010100 <% b1010010100 G% b1001010110111 T# b1001010110111 %$ b1001010110111 =% b1001010110111 H% b111011001010100001100100000 *% b1110111110001011111100100011100010000000 i$ b10000001100100 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b111011001010100001100100000 h# b111011001010100001100100000 J$ b111011001010100001100100000 u$ b10000001100100 i# b10000001100100 K$ b10000001100100 t$ b11001 b$ b11001 a$ b1 `$ b0 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000001100100 W b10000001100100 '# b10000001100100 3# b1 ` b1 $# b1 <# b1 T% 0b b11001011 >$ b1010010100 C# b1010010100 6% b1010010100 V% b1001010110111 B# b1001010110111 5% b1001010110111 W% b111011001010100001100100000 F# b111011001010100001100100000 d$ b111011001010100001100100000 Q% b10000001100100 G# b10000001100100 e$ b10000001100100 P% b0 E# b0 c$ b0 R% 0D# b1010010000 I# b1010010000 n$ b1010010000 N% b1100100001010000000100011 H# b1100100001010000000100011 m$ b1100100001010000000100011 O% b0 "# 1' #4100000 0' #4110000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b1000000000000 [# b1000000000000 _$ b1000000000000 `% 0c 0]# 0`# 1Z# b1 j$ b0 k$ b0 h$ b1000000000000 ,% 0(% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 g b0 !# b0 =# b101 \# b101 ^$ b101 _% b0 N# b0 C% b0 K% 0M# b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b0 *% b0 i$ b0 )% b101 f# b101 H$ b101 z$ b1 g# b1 I$ b1 y$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b0 b$ b0 a$ b0 `$ b101 f$ b1 p$ b110111 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b101 +% 1-% b0 3% b0 8% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b101 E# b101 c$ b101 R% 1D# b1010010100 I# b1010010100 n$ b1010010100 N% b1001010110111 H# b1001010110111 m$ b1001010110111 O% b1 B# b1 5% b1 W% b11001100 >$ 1' #4120000 0' #4130000 b0 j$ 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b100011010000101000001010010011 V b100011010000101000001010010011 {" b100011010000101000001010010011 5# b0 f# b0 H$ b0 z$ b0 g# b0 I$ b0 y$ b0 f$ b0 p$ b1 g$ b0 ,% b0 +% 0-% b100011010000101000001010010011 ` b100011010000101000001010010011 $# b100011010000101000001010010011 <# b100011010000101000001010010011 T% 1b b1010011000 W b1010011000 '# b1010011000 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1010011000 a b1010011000 }" b1010011000 ;# b1010011000 @# b1010011000 U% b1010011000 Z% b11001101 >$ b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b1 "# 1' #4140000 0' #4150000 b1000000000000 Q# b1000000000000 @% b1000000000000 I% b1000000000000 ?# b1000000000000 2% b1000000000000 b% b101 R# b101 ?% b101 ]% b101 N# b101 C% b101 K% 1M# b1101100110111 V b1101100110111 {" b1101100110111 5# b10100 /% b101 0% b101 3% b10001 7% b10011 4% b1010011000 U# b1010011000 &$ b1010011000 <% b1010011000 G% b100011010000101000001010010011 T# b100011010000101000001010010011 %$ b100011010000101000001010010011 =% b100011010000101000001010010011 H% 1T b1010011100 W b1010011100 '# b1010011100 3# b1101100110111 ` b1101100110111 $# b1101100110111 <# b1101100110111 T% 1b b1010011000 C# b1010011000 6% b1010011000 V% b100011010000101000001010010011 B# b100011010000101000001010010011 5% b100011010000101000001010010011 W% b11001110 >$ b1010011100 a b1010011100 }" b1010011100 ;# b1010011100 @# b1010011100 U% b1010011100 Z% 1' #4160000 0' #4170000 b0 Q# b0 @% b0 I% b0 ?# b0 2% b0 b% b1001000110100 [# b1001000110100 _$ b1001000110100 `% 1Z# b10000000000010110000101100010011 V b10000000000010110000101100010011 {" b10000000000010110000101100010011 5# b0 R# b0 ?% b0 ]% b10110 N# b10110 C% b10110 K% b1 j$ b1001000110100 ,% b101 \# b101 ^$ b101 _% 1T b1010100000 W b1010100000 '# b1010100000 3# b10000000000010110000101100010011 ` b10000000000010110000101100010011 $# b10000000000010110000101100010011 <# b10000000000010110000101100010011 T% 1b b0 /% b0 0% b10110 3% b0 7% b1 8% b110111 4% b1010011100 U# b1010011100 &$ b1010011100 <% b1010011100 G% b1101100110111 T# b1101100110111 %$ b1101100110111 =% b1101100110111 H% b1000000000000 )% b101 f# b101 H$ b101 z$ b1000000000000 i# b1000000000000 K$ b1000000000000 t$ b10100 b$ b1000110100 a$ b101 `$ b101 f$ b10001 o$ b10011 g$ b101 +% 1-% b1010100000 a b1010100000 }" b1010100000 ;# b1010100000 @# b1010100000 U% b1010100000 Z% b11001111 >$ b1010011100 C# b1010011100 6% b1010011100 V% b1101100110111 B# b1101100110111 5% b1101100110111 W% b1000000000000 G# b1000000000000 e$ b1000000000000 P% b101 E# b101 c$ b101 R% 1D# b1010011000 I# b1010011000 n$ b1010011000 N% b100011010000101000001010010011 H# b100011010000101000001010010011 m$ b100011010000101000001010010011 O% 1' #4180000 0' #4190000 b1000000000000 Q# b1000000000000 @% b1000000000000 I% b1000000000000 ?# b1000000000000 2% b1000000000000 b% b10 j$ b1000000000000 [# b1000000000000 _$ b1000000000000 `% b10110 \# b10110 ^$ b10110 _% b10110 R# b10110 ?% b10110 ]% b1011000101000110100110011 V b1011000101000110100110011 {" b1011000101000110100110011 5# b0 )% b10110 f# b10110 H$ b10110 z$ b1 g# b1 I$ b1 y$ b0 i# b0 K$ b0 t$ b0 b$ b0 a$ b0 `$ b10110 f$ b0 o$ b1 p$ b110111 g$ b1000000000000 ,% b10110 +% b10110 0% b1000000 7% b0 8% b10011 4% b1010100000 U# b1010100000 &$ b1010100000 <% b1010100000 G% b10000000000010110000101100010011 T# b10000000000010110000101100010011 %$ b10000000000010110000101100010011 =% b10000000000010110000101100010011 H% 1T b1010100100 W b1010100100 '# b1010100100 3# b1011000101000110100110011 ` b1011000101000110100110011 $# b1011000101000110100110011 <# b1011000101000110100110011 T% 1b b0 G# b0 e$ b0 P% b10110 E# b10110 c$ b10110 R% b1010011100 I# b1010011100 n$ b1010011100 N% b1101100110111 H# b1101100110111 m$ b1101100110111 O% b1010100000 C# b1010100000 6% b1010100000 V% b10000000000010110000101100010011 B# b10000000000010110000101100010011 5% b10000000000010110000101100010011 W% b11010000 >$ b1010100100 a b1010100100 }" b1010100100 ;# b1010100100 @# b1010100100 U% b1010100100 Z% 1' #4200000 0' #4210000 b100000000000 O# b100000000000 B% b100000000000 J% b1001000110100 Q# b1001000110100 @% b1001000110100 I% b100000000000 ># b100000000000 1% b100000000000 c% b1001000110100 ?# b1001000110100 2% b1001000110100 b% b1101000001010001000100011 V b1101000001010001000100011 {" b1101000001010001000100011 5# b10110 P# b10110 A% b10110 ^% b101 R# b101 ?% b101 ]% b11010 N# b11010 C% b11010 K% b100000000000 [# b100000000000 _$ b100000000000 `% 1T b1010101000 W b1010101000 '# b1010101000 3# b1101000001010001000100011 ` b1101000001010001000100011 $# b1101000001010001000100011 <# b1101000001010001000100011 T% 1b b10110 /% b101 0% b11010 3% b0 7% b110011 4% b1010100100 U# b1010100100 &$ b1010100100 <% b1010100100 G% b1011000101000110100110011 T# b1011000101000110100110011 %$ b1011000101000110100110011 =% b1011000101000110100110011 H% b1000000000000 )% b0 g# b0 I$ b0 y$ b1000000000000 i# b1000000000000 K$ b1000000000000 t$ b11111111111111111111100000000000 a$ b10110 `$ b1000000 o$ b0 p$ b10011 g$ b100000000000 ,% b1010101000 a b1010101000 }" b1010101000 ;# b1010101000 @# b1010101000 U% b1010101000 Z% b11010001 >$ b1010100100 C# b1010100100 6% b1010100100 V% b1011000101000110100110011 B# b1011000101000110100110011 5% b1011000101000110100110011 W% b1000000000000 G# b1000000000000 e$ b1000000000000 P% b1010100000 I# b1010100000 n$ b1010100000 N% b10000000000010110000101100010011 H# b10000000000010110000101100010011 m$ b10000000000010110000101100010011 O% 1' #4220000 0' #4230000 b1101000110100 O# b1101000110100 B% b1101000110100 J% b10000001100100 Q# b10000001100100 @% b10000001100100 I% b1101000110100 ># b1101000110100 1% b1101000110100 c% b10000001100100 ?# b10000001100100 2% b10000001100100 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b10 k$ b1111111111111111111111111111111111111111011011100110000000000000 h$ b1101000110100 [# b1101000110100 _$ b1101000110100 `% b11010 \# b11010 ^$ b11010 _% 1>% b0 N# b0 C% b0 K% 0M# b11010 P# b11010 A% b11010 ^% b1 R# b1 ?% b1 ]% b10000000000000000000001000110111 V b10000000000000000000001000110111 {" b10000000000000000000001000110111 5# b100100011010000000000000 i$ b100000000000 *% b1001000110100 )% b11010 f# b11010 H$ b11010 z$ b100000000000 h# b100000000000 J$ b100000000000 u$ b1001000110100 i# b1001000110100 K$ b1001000110100 t$ b10110 b$ b10110 a$ b101 `$ b11010 f$ b0 o$ b110011 g$ b1101000110100 ,% b11010 +% b11010 /% b1 0% b100 3% b10 8% b100011 4% b1010101000 U# b1010101000 &$ b1010101000 <% b1010101000 G% b1101000001010001000100011 T# b1101000001010001000100011 %$ b1101000001010001000100011 =% b1101000001010001000100011 H% 1T b1010101100 W b1010101100 '# b1010101100 3# b10000000000000000000001000110111 ` b10000000000000000000001000110111 $# b10000000000000000000001000110111 <# b10000000000000000000001000110111 T% 1b b100000000000 F# b100000000000 d$ b100000000000 Q% b1001000110100 G# b1001000110100 e$ b1001000110100 P% b11010 E# b11010 c$ b11010 R% b1010100100 I# b1010100100 n$ b1010100100 N% b1011000101000110100110011 H# b1011000101000110100110011 m$ b1011000101000110100110011 O% b1010101000 C# b1010101000 6% b1010101000 V% b1101000001010001000100011 B# b1101000001010001000100011 5% b1101000001010001000100011 W% b11010010 >$ b1010101100 a b1010101100 }" b1010101100 ;# b1010101100 @# b1010101100 U% b1010101100 Z% 1' #4240000 0' #4250000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# 1S b10000001101000 g b10000001101000 !# b10000001101000 =# 1`# 1c 1]# 0Z# b1101000110100 U b1101000110100 (# b1101000110100 4# b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b100 N# b100 C% b100 K% 1M# b0 j$ b1111111111111111111111111111111111111100101011110100001110110000 h$ b1101000110100 f b1101000110100 ~" b1101000110100 :# b1101000110100 ^# b1101000110100 '% b10000001101000 a# b10000001101000 $% b10000001101000 _# b10000001101000 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b0 /% b0 0% b1000000 7% b0 8% b110111 4% 0>% b1010101100 U# b1010101100 &$ b1010101100 <% b1010101100 G% b10000000000000000000001000110111 T# b10000000000000000000001000110111 %$ b10000000000000000000001000110111 =% b10000000000000000000001000110111 H% b1101000110100 *% b11010100001011110001010000 i$ b10000001100100 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b1101000110100 h# b1101000110100 J$ b1101000110100 u$ b10000001100100 i# b10000001100100 K$ b10000001100100 t$ b11010 b$ b11010 a$ b1 `$ b100 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000001101000 W b10000001101000 '# b10000001101000 3# b1 ` b1 $# b1 <# b1 T% 0b b1101000110100 $ b11010011 >$ b1010101100 C# b1010101100 6% b1010101100 V% b10000000000000000000001000110111 B# b10000000000000000000001000110111 5% b10000000000000000000001000110111 W% b1101000110100 F# b1101000110100 d$ b1101000110100 Q% b10000001100100 G# b10000001100100 e$ b10000001100100 P% b0 E# b0 c$ b0 R% 0D# b1010101000 I# b1010101000 n$ b1010101000 N% b1101000001010001000100011 H# b1101000001010001000100011 m$ b1101000001010001000100011 O% b0 "# 1' #4260000 0' #4270000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b10000000000000000000000000000000 [# b10000000000000000000000000000000 _$ b10000000000000000000000000000000 `% 0c 0]# 0`# 1Z# b0 k$ b0 h$ b10000000000000000000000000000000 ,% 0(% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 g b0 !# b0 =# b100 \# b100 ^$ b100 _% b0 N# b0 C% b0 K% 0M# b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b0 *% b0 i$ b0 )% b100 f# b100 H$ b100 z$ b0 g# b0 I$ b0 y$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b0 b$ b11111111111111111111100000000000 a$ b0 `$ b1000000 o$ b0 p$ b110111 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b100 +% 1-% b0 3% b0 7% b1 4% b1 T# b1 %$ b1 =% b1 H% 1t b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b100 E# b100 c$ b100 R% 1D# b1010101100 I# b1010101100 n$ b1010101100 N% b10000000000000000000001000110111 H# b10000000000000000000001000110111 m$ b10000000000000000000001000110111 O% b1 B# b1 5% b1 W% b11010100 >$ 1' #4280000 0' #4290000 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b101010010011 V b101010010011 {" b101010010011 5# b0 f# b0 H$ b0 z$ b0 a$ b0 f$ b0 o$ b1 g$ b0 ,% b0 +% 0-% b101010010011 ` b101010010011 $# b101010010011 <# b101010010011 T% 1b b1010110000 W b1010110000 '# b1010110000 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1010110000 a b1010110000 }" b1010110000 ;# b1010110000 @# b1010110000 U% b1010110000 Z% b11010101 >$ b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b1 "# 1' #4300000 0' #4310000 b10101 N# b10101 C% b10101 K% 1M# b1010100100000110110110011 V b1010100100000110110110011 {" b1010100100000110110110011 5# b10101 3% b10011 4% b1010110000 U# b1010110000 &$ b1010110000 <% b1010110000 G% b101010010011 T# b101010010011 %$ b101010010011 =% b101010010011 H% 1T b1010110100 W b1010110100 '# b1010110100 3# b1010100100000110110110011 ` b1010100100000110110110011 $# b1010100100000110110110011 <# b1010100100000110110110011 T% 1b b1010110000 C# b1010110000 6% b1010110000 V% b101010010011 B# b101010010011 5% b101010010011 W% b11010110 >$ b1010110100 a b1010110100 }" b1010110100 ;# b1010110100 @# b1010110100 U% b1010110100 Z% 1' #4320000 0' #4330000 b10000000000000000000000000000000 Q# b10000000000000000000000000000000 @% b10000000000000000000000000000000 I% b10000000000000000000000000000000 ?# b10000000000000000000000000000000 2% b10000000000000000000000000000000 b% b1 j$ 1Z# b1101100001010010000100011 V b1101100001010010000100011 {" b1101100001010010000100011 5# b10101 P# b10101 A% b10101 ^% b100 R# b100 ?% b100 ]% b11011 N# b11011 C% b11011 K% b10101 \# b10101 ^$ b10101 _% 1T b1010111000 W b1010111000 '# b1010111000 3# b1101100001010010000100011 ` b1101100001010010000100011 $# b1101100001010010000100011 <# b1101100001010010000100011 T% 1b b10101 /% b100 0% b11011 3% b110011 4% b1010110100 U# b1010110100 &$ b1010110100 <% b1010110100 G% b1010100100000110110110011 T# b1010100100000110110110011 %$ b1010100100000110110110011 =% b1010100100000110110110011 H% b10101 f# b10101 H$ b10101 z$ b10101 f$ b10011 g$ b10101 +% 1-% b1010111000 a b1010111000 }" b1010111000 ;# b1010111000 @# b1010111000 U% b1010111000 Z% b11010111 >$ b1010110100 C# b1010110100 6% b1010110100 V% b1010100100000110110110011 B# b1010100100000110110110011 5% b1010100100000110110110011 W% b10101 E# b10101 c$ b10101 R% 1D# b1010110000 I# b1010110000 n$ b1010110000 N% b101010010011 H# b101010010011 m$ b101010010011 O% 1' #4340000 0' #4350000 b10000000000000000000000000000000 O# b10000000000000000000000000000000 B% b10000000000000000000000000000000 J% b10000001100100 Q# b10000001100100 @% b10000001100100 I% b10000000000000000000000000000000 ># b10000000000000000000000000000000 1% b10000000000000000000000000000000 c% b10000001100100 ?# b10000001100100 2% b10000001100100 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b11 j$ b1 k$ b10000000000000000000000000000000 [# b10000000000000000000000000000000 _$ b10000000000000000000000000000000 `% b11011 \# b11011 ^$ b11011 _% 1>% b0 N# b0 C% b0 K% 0M# b11011 P# b11011 A% b11011 ^% b1 R# b1 ?% b1 ]% b11111111111111111111000110110111 V b11111111111111111111000110110111 {" b11111111111111111111000110110111 5# b10000000000000000000000000000000 )% b11011 f# b11011 H$ b11011 z$ b10000000000000000000000000000000 i# b10000000000000000000000000000000 K$ b10000000000000000000000000000000 t$ b10101 b$ b10101 a$ b100 `$ b11011 f$ b110011 g$ b10000000000000000000000000000000 ,% b11011 +% b11011 /% b1 0% b1000 3% b10 8% b100011 4% b1010111000 U# b1010111000 &$ b1010111000 <% b1010111000 G% b1101100001010010000100011 T# b1101100001010010000100011 %$ b1101100001010010000100011 =% b1101100001010010000100011 H% 1T b1010111100 W b1010111100 '# b1010111100 3# b11111111111111111111000110110111 ` b11111111111111111111000110110111 $# b11111111111111111111000110110111 <# b11111111111111111111000110110111 T% 1b b10000000000000000000000000000000 G# b10000000000000000000000000000000 e$ b10000000000000000000000000000000 P% b11011 E# b11011 c$ b11011 R% b1010110100 I# b1010110100 n$ b1010110100 N% b1010100100000110110110011 H# b1010100100000110110110011 m$ b1010100100000110110110011 O% b1010111000 C# b1010111000 6% b1010111000 V% b1101100001010010000100011 B# b1101100001010010000100011 5% b1101100001010010000100011 W% b11011000 >$ b1010111100 a b1010111100 }" b1010111100 ;# b1010111100 @# b1010111100 U% b1010111100 Z% 1' #4360000 0' #4370000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# 1S b10000001101100 g b10000001101100 !# b10000001101100 =# 1`# 1c 1]# 0Z# b10000000000000000000000000000000 U b10000000000000000000000000000000 (# b10000000000000000000000000000000 4# b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b11 N# b11 C% b11 K% 1M# b0 j$ b11 k$ b1111111111111111111011111100111000000000000000000000000000000000 h$ b10000000000000000000000000000000 f b10000000000000000000000000000000 ~" b10000000000000000000000000000000 :# b10000000000000000000000000000000 ^# b10000000000000000000000000000000 '% b10000001101100 a# b10000001101100 $% b10000001101100 _# b10000001101100 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b11111 /% b11111 0% b11 3% b1111111 7% b111 8% b110111 4% 0>% b1010111100 U# b1010111100 &$ b1010111100 <% b1010111100 G% b11111111111111111111000110110111 T# b11111111111111111111000110110111 %$ b11111111111111111111000110110111 =% b11111111111111111111000110110111 H% b100000011001000000000000000000000000000000000 i$ b10000000000000000000000000000000 *% b10000001100100 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b10000000000000000000000000000000 h# b10000000000000000000000000000000 J$ b10000000000000000000000000000000 u$ b10000001100100 i# b10000001100100 K$ b10000001100100 t$ b11011 b$ b11011 a$ b1 `$ b1000 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000001101100 W b10000001101100 '# b10000001101100 3# b1 ` b1 $# b1 <# b1 T% 0b b10000000000000000000000000000000 % b11011001 >$ b1010111100 C# b1010111100 6% b1010111100 V% b11111111111111111111000110110111 B# b11111111111111111111000110110111 5% b11111111111111111111000110110111 W% b10000000000000000000000000000000 F# b10000000000000000000000000000000 d$ b10000000000000000000000000000000 Q% b10000001100100 G# b10000001100100 e$ b10000001100100 P% b0 E# b0 c$ b0 R% 0D# b1010111000 I# b1010111000 n$ b1010111000 N% b1101100001010010000100011 H# b1101100001010010000100011 m$ b1101100001010010000100011 O% b0 "# 1' #4380000 0' #4390000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b11111111111111111111000000000000 [# b11111111111111111111000000000000 _$ b11111111111111111111000000000000 `% 0c 0]# 0`# 1Z# b11 j$ b0 h$ b11111111111111111111000000000000 ,% 0(% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 g b0 !# b0 =# b11 \# b11 ^$ b11 _% b0 N# b0 C% b0 K% 0M# b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b0 *% b0 i$ b0 )% b11 f# b11 H$ b11 z$ b111 g# b111 I$ b111 y$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b11111 b$ b11111111111111111111111111111111 a$ b11111 `$ b11 f$ b1111111 o$ b111 p$ b110111 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b11 +% 1-% b0 /% b0 0% b0 3% b0 7% b0 8% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b11 E# b11 c$ b11 R% 1D# b1010111100 I# b1010111100 n$ b1010111100 N% b11111111111111111111000110110111 H# b11111111111111111111000110110111 m$ b11111111111111111111000110110111 O% b1 B# b1 5% b1 W% b11011010 >$ 1' #4400000 0' #4410000 b0 j$ b0 k$ 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11011100110000011000000110010011 V b11011100110000011000000110010011 {" b11011100110000011000000110010011 5# b0 f# b0 H$ b0 z$ b0 g# b0 I$ b0 y$ b0 b$ b0 a$ b0 `$ b0 f$ b0 o$ b0 p$ b1 g$ b0 ,% b0 +% 0-% b11011100110000011000000110010011 ` b11011100110000011000000110010011 $# b11011100110000011000000110010011 <# b11011100110000011000000110010011 T% 1b b1011000000 W b1011000000 '# b1011000000 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1011000000 a b1011000000 }" b1011000000 ;# b1011000000 @# b1011000000 U% b1011000000 Z% b11111111111111111111000000000000 & b11011011 >$ b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b1 "# 1' #4420000 0' #4430000 b11111111111111111111000000000000 Q# b11111111111111111111000000000000 @% b11111111111111111111000000000000 I% b11111111111111111111000000000000 ?# b11111111111111111111000000000000 2% b11111111111111111111000000000000 b% b11 R# b11 ?% b11 ]% b11 N# b11 C% b11 K% 1M# b1111111111100000000101000010011 V b1111111111100000000101000010011 {" b1111111111100000000101000010011 5# b1100 /% b11 0% b11 3% b1101110 7% b10011 4% b1011000000 U# b1011000000 &$ b1011000000 <% b1011000000 G% b11011100110000011000000110010011 T# b11011100110000011000000110010011 %$ b11011100110000011000000110010011 =% b11011100110000011000000110010011 H% 1T b1011000100 W b1011000100 '# b1011000100 3# b1111111111100000000101000010011 ` b1111111111100000000101000010011 $# b1111111111100000000101000010011 <# b1111111111100000000101000010011 T% 1b b1011000000 C# b1011000000 6% b1011000000 V% b11011100110000011000000110010011 B# b11011100110000011000000110010011 5% b11011100110000011000000110010011 W% b11011100 >$ b1011000100 a b1011000100 }" b1011000100 ;# b1011000100 @# b1011000100 U% b1011000100 Z% 1' #4440000 0' #4450000 b0 Q# b0 @% b0 I% b0 ?# b0 2% b0 b% b11111111111111111110110111001100 [# b11111111111111111110110111001100 _$ b11111111111111111110110111001100 `% 1Z# b1010000011000111000110011 V b1010000011000111000110011 {" b1010000011000111000110011 5# b0 R# b0 ?% b0 ]% b10100 N# b10100 C% b10100 K% b11 j$ b11111111111111111110110111001100 ,% b11 \# b11 ^$ b11 _% 1T b1011001000 W b1011001000 '# b1011001000 3# b1010000011000111000110011 ` b1010000011000111000110011 $# b1010000011000111000110011 <# b1010000011000111000110011 T% 1b b11111 /% b0 0% b10100 3% b111111 7% b1011000100 U# b1011000100 &$ b1011000100 <% b1011000100 G% b1111111111100000000101000010011 T# b1111111111100000000101000010011 %$ b1111111111100000000101000010011 =% b1111111111100000000101000010011 H% b11111111111111111111000000000000 )% b11 f# b11 H$ b11 z$ b11111111111111111111000000000000 i# b11111111111111111111000000000000 K$ b11111111111111111111000000000000 t$ b1100 b$ b11111111111111111111110111001100 a$ b11 `$ b11 f$ b1101110 o$ b10011 g$ b11 +% 1-% b1011001000 a b1011001000 }" b1011001000 ;# b1011001000 @# b1011001000 U% b1011001000 Z% b11011101 >$ b1011000100 C# b1011000100 6% b1011000100 V% b1111111111100000000101000010011 B# b1111111111100000000101000010011 5% b1111111111100000000101000010011 W% b11111111111111111111000000000000 G# b11111111111111111111000000000000 e$ b11111111111111111111000000000000 P% b11 E# b11 c$ b11 R% 1D# b1011000000 I# b1011000000 n$ b1011000000 N% b11011100110000011000000110010011 H# b11011100110000011000000110010011 m$ b11011100110000011000000110010011 O% 1' #4460000 0' #4470000 b11111111111 O# b11111111111 B% b11111111111 J% b11111111111111111110110111001100 Q# b11111111111111111110110111001100 @% b11111111111111111110110111001100 I% b11111111111 ># b11111111111 1% b11111111111 c% b11111111111111111110110111001100 ?# b11111111111111111110110111001100 2% b11111111111111111110110111001100 b% b0 j$ b11 k$ b11111111111 [# b11111111111 _$ b11111111111 `% b10100 \# b10100 ^$ b10100 _% b10100 P# b10100 A% b10100 ^% b11 R# b11 ?% b11 ]% b11100 N# b11100 C% b11100 K% b1110000001010011000100011 V b1110000001010011000100011 {" b1110000001010011000100011 5# b0 )% b10100 f# b10100 H$ b10100 z$ b0 i# b0 K$ b0 t$ b11111 b$ b11111111111 a$ b0 `$ b10100 f$ b111111 o$ b11111111111 ,% b10100 +% b10100 /% b11 0% b11100 3% b0 7% b110011 4% b1011001000 U# b1011001000 &$ b1011001000 <% b1011001000 G% b1010000011000111000110011 T# b1010000011000111000110011 %$ b1010000011000111000110011 =% b1010000011000111000110011 H% 1T b1011001100 W b1011001100 '# b1011001100 3# b1110000001010011000100011 ` b1110000001010011000100011 $# b1110000001010011000100011 <# b1110000001010011000100011 T% 1b b0 G# b0 e$ b0 P% b10100 E# b10100 c$ b10100 R% b1011000100 I# b1011000100 n$ b1011000100 N% b1111111111100000000101000010011 H# b1111111111100000000101000010011 m$ b1111111111100000000101000010011 O% b1011001000 C# b1011001000 6% b1011001000 V% b1010000011000111000110011 B# b1010000011000111000110011 5% b1010000011000111000110011 W% b11011110 >$ b11111111111111111110110111001100 & b1011001100 a b1011001100 }" b1011001100 ;# b1011001100 @# b1011001100 U% b1011001100 Z% 1' #4480000 0' #4490000 b11111111111111111111010111001011 O# b11111111111111111111010111001011 B% b11111111111111111111010111001011 J% b10000001100100 Q# b10000001100100 @% b10000001100100 I% b11111111111111111111010111001011 ># b11111111111111111111010111001011 1% b11111111111111111111010111001011 c% b10000001100100 ?# b10000001100100 2% b10000001100100 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b11111111111100000000000100010011 V b11111111111100000000000100010011 {" b11111111111100000000000100010011 5# 1>% b0 N# b0 C% b0 K% 0M# b11100 P# b11100 A% b11100 ^% b1 R# b1 ?% b1 ]% b0 k$ b1111111111111111111110000000000100000000100100011000110111001100 h$ b11111111111111111111010111001011 [# b11111111111111111111010111001011 _$ b11111111111111111111010111001011 `% b11100 \# b11100 ^$ b11100 _% 1T b1011010000 W b1011010000 '# b1011010000 3# b11111111111100000000000100010011 ` b11111111111100000000000100010011 $# b11111111111100000000000100010011 <# b11111111111100000000000100010011 T% 1b b11100 /% b1 0% b1100 3% b10 8% b100011 4% b1011001100 U# b1011001100 &$ b1011001100 <% b1011001100 G% b1110000001010011000100011 T# b1110000001010011000100011 %$ b1110000001010011000100011 =% b1110000001010011000100011 H% b1111111111011111111011011100111001000110100 i$ b11111111111 *% b11111111111111111110110111001100 )% b11100 f# b11100 H$ b11100 z$ b11111111111 h# b11111111111 J$ b11111111111 u$ b11111111111111111110110111001100 i# b11111111111111111110110111001100 K$ b11111111111111111110110111001100 t$ b10100 b$ b10100 a$ b11 `$ b11100 f$ b0 o$ b110011 g$ b11111111111111111111010111001011 ,% b11100 +% b1011010000 a b1011010000 }" b1011010000 ;# b1011010000 @# b1011010000 U% b1011010000 Z% b11011111 >$ b1011001100 C# b1011001100 6% b1011001100 V% b1110000001010011000100011 B# b1110000001010011000100011 5% b1110000001010011000100011 W% b11111111111 F# b11111111111 d$ b11111111111 Q% b11111111111111111110110111001100 G# b11111111111111111110110111001100 e$ b11111111111111111110110111001100 P% b11100 E# b11100 c$ b11100 R% b1011001000 I# b1011001000 n$ b1011001000 N% b1010000011000111000110011 H# b1010000011000111000110011 m$ b1010000011000111000110011 O% 1' #4500000 0' #4510000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# b11111111111111111111010111001011 U b11111111111111111111010111001011 (# b11111111111111111111010111001011 4# 1S b10000001110000 g b10000001110000 !# b10000001110000 =# 1`# 1c 1]# 0Z# b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b1111111111111111110111111001110000000001010010101001110010110100 h$ b11111111111111111111010111001011 f b11111111111111111111010111001011 ~" b11111111111111111111010111001011 :# b11111111111111111111010111001011 ^# b11111111111111111111010111001011 '% b10000001110000 a# b10000001110000 $% b10000001110000 _# b10000001110000 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b10 N# b10 C% b10 K% 1M# b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000001110000 W b10000001110000 '# b10000001110000 3# b1 ` b1 $# b1 <# b1 T% 0b b11111111111111111111010111001011 *% b1000000110001111111110101101010110001101001100 i$ b10000001100100 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b11111111111111111111010111001011 h# b11111111111111111111010111001011 J$ b11111111111111111111010111001011 u$ b10000001100100 i# b10000001100100 K$ b10000001100100 t$ b11100 b$ b11100 a$ b1 `$ b1100 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b11111 /% b0 0% b10 3% b1111111 7% b0 8% b10011 4% 0>% b1011010000 U# b1011010000 &$ b1011010000 <% b1011010000 G% b11111111111100000000000100010011 T# b11111111111100000000000100010011 %$ b11111111111100000000000100010011 =% b11111111111100000000000100010011 H% b0 "# b11111111111111111111010111001011 F# b11111111111111111111010111001011 d$ b11111111111111111111010111001011 Q% b10000001100100 G# b10000001100100 e$ b10000001100100 P% b0 E# b0 c$ b0 R% 0D# b1011001100 I# b1011001100 n$ b1011001100 N% b1110000001010011000100011 H# b1110000001010011000100011 m$ b1110000001010011000100011 O% b1011010000 C# b1011010000 6% b1011010000 V% b11111111111100000000000100010011 B# b11111111111100000000000100010011 5% b11111111111100000000000100010011 W% b11100000 >$ 1' #4520000 0' #4530000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b11111111111111111111111111111111 [# b11111111111111111111111111111111 _$ b11111111111111111111111111111111 `% 0c 0]# 0`# 1Z# b0 N# b0 C% b0 K% 0M# b10 j$ b11 k$ b0 h$ b11111111111111111111111111111111 ,% 0(% b0 g b0 !# b0 =# b10 \# b10 ^$ b10 _% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 /% b0 3% b0 7% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 *% b0 i$ b0 )% b10 f# b10 H$ b10 z$ b0 g# b0 I$ b0 y$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b11111 b$ b11111111111111111111111111111111 a$ b0 `$ b10 f$ b1111111 o$ b0 p$ b10011 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b10 +% 1-% b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b11100001 >$ b1 B# b1 5% b1 W% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b10 E# b10 c$ b10 R% 1D# b1011010000 I# b1011010000 n$ b1011010000 N% b11111111111100000000000100010011 H# b11111111111100000000000100010011 m$ b11111111111100000000000100010011 O% 1' #4540000 0' #4550000 b0 j$ b0 k$ 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11111111111100000000100110010011 V b11111111111100000000100110010011 {" b11111111111100000000100110010011 5# b0 f# b0 H$ b0 z$ b0 b$ b0 a$ b0 f$ b0 o$ b1 g$ b0 ,% b0 +% 0-% b11111111111100000000100110010011 ` b11111111111100000000100110010011 $# b11111111111100000000100110010011 <# b11111111111100000000100110010011 T% 1b b1011010100 W b1011010100 '# b1011010100 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1 "# b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b11100010 >$ b1011010100 a b1011010100 }" b1011010100 ;# b1011010100 @# b1011010100 U% b1011010100 Z% 1' #4560000 0' #4570000 b1001100010000111010110011 V b1001100010000111010110011 {" b1001100010000111010110011 5# b10011 N# b10011 C% b10011 K% 1M# 1T b1011011000 W b1011011000 '# b1011011000 3# b1001100010000111010110011 ` b1001100010000111010110011 $# b1001100010000111010110011 <# b1001100010000111010110011 T% 1b b11111 /% b10011 3% b1111111 7% b10011 4% b1011010100 U# b1011010100 &$ b1011010100 <% b1011010100 G% b11111111111100000000100110010011 T# b11111111111100000000100110010011 %$ b11111111111100000000100110010011 =% b11111111111100000000100110010011 H% b1011011000 a b1011011000 }" b1011011000 ;# b1011011000 @# b1011011000 U% b1011011000 Z% b11100011 >$ b1011010100 C# b1011010100 6% b1011010100 V% b11111111111100000000100110010011 B# b11111111111100000000100110010011 5% b11111111111100000000100110010011 W% 1' #4580000 0' #4590000 b11111111111111111111111111111111 O# b11111111111111111111111111111111 B% b11111111111111111111111111111111 J% b11111111111111111111111111111111 Q# b11111111111111111111111111111111 @% b11111111111111111111111111111111 I% b11111111111111111111111111111111 ># b11111111111111111111111111111111 1% b11111111111111111111111111111111 c% b11111111111111111111111111111111 ?# b11111111111111111111111111111111 2% b11111111111111111111111111111111 b% b11 j$ b11 k$ b11111111111111111111111111111111 [# b11111111111111111111111111111111 _$ b11111111111111111111111111111111 `% 1Z# b11111111111111111111111111111111 ,% b10011 \# b10011 ^$ b10011 _% b10011 P# b10011 A% b10011 ^% b10 R# b10 ?% b10 ]% b11101 N# b11101 C% b11101 K% b1110100001010100000100011 V b1110100001010100000100011 {" b1110100001010100000100011 5# b10011 f# b10011 H$ b10011 z$ b11111 b$ b11111111111111111111111111111111 a$ b10011 f$ b1111111 o$ b10011 g$ b10011 +% 1-% b10011 /% b10 0% b11101 3% b0 7% b110011 4% b1011011000 U# b1011011000 &$ b1011011000 <% b1011011000 G% b1001100010000111010110011 T# b1001100010000111010110011 %$ b1001100010000111010110011 =% b1001100010000111010110011 H% 1T b1011011100 W b1011011100 '# b1011011100 3# b1110100001010100000100011 ` b1110100001010100000100011 $# b1110100001010100000100011 <# b1110100001010100000100011 T% 1b b10011 E# b10011 c$ b10011 R% 1D# b1011010100 I# b1011010100 n$ b1011010100 N% b11111111111100000000100110010011 H# b11111111111100000000100110010011 m$ b11111111111100000000100110010011 O% b1011011000 C# b1011011000 6% b1011011000 V% b1001100010000111010110011 B# b1001100010000111010110011 5% b1001100010000111010110011 W% b11100100 >$ b1011011100 a b1011011100 }" b1011011100 ;# b1011011100 @# b1011011100 U% b1011011100 Z% 1' #4600000 0' #4610000 b11111111111111111111111111111110 O# b11111111111111111111111111111110 B% b11111111111111111111111111111110 J% b10000001100100 Q# b10000001100100 @% b10000001100100 I% b11111111111111111111111111111110 ># b11111111111111111111111111111110 1% b11111111111111111111111111111110 c% b10000001100100 ?# b10000001100100 2% b10000001100100 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b10000100010111 V b10000100010111 {" b10000100010111 5# 1>% b0 N# b0 C% b0 K% 0M# b11101 P# b11101 A% b11101 ^% b1 R# b1 ?% b1 ]% b0 j$ b10 k$ b111111111111111111111111111111111 h$ b11111111111111111111111111111110 [# b11111111111111111111111111111110 _$ b11111111111111111111111111111110 `% b11101 \# b11101 ^$ b11101 _% 1T b1011100000 W b1011100000 '# b1011100000 3# b10000100010111 ` b10000100010111 $# b10000100010111 <# b10000100010111 T% 1b b11101 /% b1 0% b10000 3% b10 8% b100011 4% b1011011100 U# b1011011100 &$ b1011011100 <% b1011011100 G% b1110100001010100000100011 T# b1110100001010100000100011 %$ b1110100001010100000100011 =% b1110100001010100000100011 H% b1111111111111111111111111111111000000000000000000000000000000001 i$ b11111111111111111111111111111111 *% b11111111111111111111111111111111 )% b11101 f# b11101 H$ b11101 z$ b11111111111111111111111111111111 h# b11111111111111111111111111111111 J$ b11111111111111111111111111111111 u$ b11111111111111111111111111111111 i# b11111111111111111111111111111111 K$ b11111111111111111111111111111111 t$ b10011 b$ b10011 a$ b10 `$ b11101 f$ b0 o$ b110011 g$ b11111111111111111111111111111110 ,% b11101 +% b1011100000 a b1011100000 }" b1011100000 ;# b1011100000 @# b1011100000 U% b1011100000 Z% b11100101 >$ b1011011100 C# b1011011100 6% b1011011100 V% b1110100001010100000100011 B# b1110100001010100000100011 5% b1110100001010100000100011 W% b11111111111111111111111111111111 F# b11111111111111111111111111111111 d$ b11111111111111111111111111111111 Q% b11111111111111111111111111111111 G# b11111111111111111111111111111111 e$ b11111111111111111111111111111111 P% b11101 E# b11101 c$ b11101 R% b1011011000 I# b1011011000 n$ b1011011000 N% b1001100010000111010110011 H# b1001100010000111010110011 m$ b1001100010000111010110011 O% 1' #4620000 0' #4630000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# b11111111111111111111111111111110 U b11111111111111111111111111111110 (# b11111111111111111111111111111110 4# 1S b10000001110100 g b10000001110100 !# b10000001110100 =# 1`# 1c 1]# 0Z# b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b1 k$ b1111111111111111110111111001110000000000000000000100000011001000 h$ b11111111111111111111111111111110 f b11111111111111111111111111111110 ~" b11111111111111111111111111111110 :# b11111111111111111111111111111110 ^# b11111111111111111111111111111110 '% b10000001110100 a# b10000001110100 $% b10000001110100 _# b10000001110100 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b10 N# b10 C% b10 K% 1M# b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000001110100 W b10000001110100 '# b10000001110100 3# b1 ` b1 $# b1 <# b1 T% 0b b11111111111111111111111111111110 *% b1000000110001111111111111111111011111100111000 i$ b10000001100100 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b11111111111111111111111111111110 h# b11111111111111111111111111111110 J$ b11111111111111111111111111111110 u$ b10000001100100 i# b10000001100100 K$ b10000001100100 t$ b11101 b$ b11101 a$ b1 `$ b10000 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b0 /% b0 0% b10 3% b10111 4% 0>% b1011100000 U# b1011100000 &$ b1011100000 <% b1011100000 G% b10000100010111 T# b10000100010111 %$ b10000100010111 =% b10000100010111 H% b0 "# b11111111111111111111111111111110 F# b11111111111111111111111111111110 d$ b11111111111111111111111111111110 Q% b10000001100100 G# b10000001100100 e$ b10000001100100 P% b0 E# b0 c$ b0 R% 0D# b1011011100 I# b1011011100 n$ b1011011100 N% b1110100001010100000100011 H# b1110100001010100000100011 m$ b1110100001010100000100011 O% b1011100000 C# b1011100000 6% b1011100000 V% b10000100010111 B# b10000100010111 5% b10000100010111 W% b11100110 >$ 1' #4640000 0' #4650000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b10001011100000 [# b10001011100000 _$ b10001011100000 `% 0c 0]# 0`# 1Z# b0 N# b0 C% b0 K% 0M# b10 j$ b0 k$ b0 h$ b10001011100000 ,% 0(% b0 g b0 !# b0 =# b10 \# b10 ^$ b10 _% 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 3% b0 8% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 *% b0 i$ b0 )% b10 f# b10 H$ b10 z$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b0 b$ b0 a$ b0 `$ b10 f$ b10111 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b10 +% 1-% b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b11100111 >$ b1 B# b1 5% b1 W% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b10 E# b10 c$ b10 R% 1D# b1011100000 I# b1011100000 n$ b1011100000 N% b10000100010111 H# b10000100010111 m$ b10000100010111 O% 1' #4660000 0' #4670000 b0 j$ 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11011001100000010000000100010011 V b11011001100000010000000100010011 {" b11011001100000010000000100010011 5# b0 f# b0 H$ b0 z$ b0 g# b0 I$ b0 y$ b0 f$ b0 p$ b1 g$ b0 ,% b0 +% 0-% b11011001100000010000000100010011 ` b11011001100000010000000100010011 $# b11011001100000010000000100010011 <# b11011001100000010000000100010011 T% 1b b1011100100 W b1011100100 '# b1011100100 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1 "# b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b11101000 >$ b1011100100 a b1011100100 }" b1011100100 ;# b1011100100 @# b1011100100 U% b1011100100 Z% 1' #4680000 0' #4690000 b10001011100000 Q# b10001011100000 @% b10001011100000 I% b10001011100000 ?# b10001011100000 2% b10001011100000 b% b10000000000100000000000010010011 V b10000000000100000000000010010011 {" b10000000000100000000000010010011 5# b10 R# b10 ?% b10 ]% b10 N# b10 C% b10 K% 1M# 1T b1011101000 W b1011101000 '# b1011101000 3# b10000000000100000000000010010011 ` b10000000000100000000000010010011 $# b10000000000100000000000010010011 <# b10000000000100000000000010010011 T% 1b b11000 /% b10 0% b10 3% b1101100 7% b10011 4% b1011100100 U# b1011100100 &$ b1011100100 <% b1011100100 G% b11011001100000010000000100010011 T# b11011001100000010000000100010011 %$ b11011001100000010000000100010011 =% b11011001100000010000000100010011 H% b1011101000 a b1011101000 }" b1011101000 ;# b1011101000 @# b1011101000 U% b1011101000 Z% b11101001 >$ b1011100100 C# b1011100100 6% b1011100100 V% b11011001100000010000000100010011 B# b11011001100000010000000100010011 5% b11011001100000010000000100010011 W% 1' #4700000 0' #4710000 b0 Q# b0 @% b0 I% b0 ?# b0 2% b0 b% b10000001111000 [# b10000001111000 _$ b10000001111000 `% 1Z# b10 j$ b10000001111000 ,% b10 \# b10 ^$ b10 _% b0 R# b0 ?% b0 ]% b1 N# b1 C% b1 K% b100000000100100010011 V b100000000100100010011 {" b100000000100100010011 5# b10001011100000 )% b10 f# b10 H$ b10 z$ b10001011100000 i# b10001011100000 K$ b10001011100000 t$ b11000 b$ b11111111111111111111110110011000 a$ b10 `$ b10 f$ b1101100 o$ b10011 g$ b10 +% 1-% b1 /% b0 0% b1 3% b1000000 7% b1011101000 U# b1011101000 &$ b1011101000 <% b1011101000 G% b10000000000100000000000010010011 T# b10000000000100000000000010010011 %$ b10000000000100000000000010010011 =% b10000000000100000000000010010011 H% 1T b1011101100 W b1011101100 '# b1011101100 3# b100000000100100010011 ` b100000000100100010011 $# b100000000100100010011 <# b100000000100100010011 T% 1b b10001011100000 G# b10001011100000 e$ b10001011100000 P% b10 E# b10 c$ b10 R% 1D# b1011100100 I# b1011100100 n$ b1011100100 N% b11011001100000010000000100010011 H# b11011001100000010000000100010011 m$ b11011001100000010000000100010011 O% b1011101000 C# b1011101000 6% b1011101000 V% b10000000000100000000000010010011 B# b10000000000100000000000010010011 5% b10000000000100000000000010010011 W% b11101010 >$ b1011101100 a b1011101100 }" b1011101100 ;# b1011101100 @# b1011101100 U% b1011101100 Z% 1' #4720000 0' #4730000 b1001000001000111100110011 V b1001000001000111100110011 {" b1001000001000111100110011 5# b10010 N# b10010 C% b10010 K% b1 j$ b1 k$ b11111111111111111111100000000001 [# b11111111111111111111100000000001 _$ b11111111111111111111100000000001 `% b1 \# b1 ^$ b1 _% 1T b1011110000 W b1011110000 '# b1011110000 3# b1001000001000111100110011 ` b1001000001000111100110011 $# b1001000001000111100110011 <# b1001000001000111100110011 T% 1b b10010 3% b0 7% b1011101100 U# b1011101100 &$ b1011101100 <% b1011101100 G% b100000000100100010011 T# b100000000100100010011 %$ b100000000100100010011 =% b100000000100100010011 H% b0 )% b1 f# b1 H$ b1 z$ b0 i# b0 K$ b0 t$ b1 b$ b11111111111111111111100000000001 a$ b0 `$ b1 f$ b1000000 o$ b11111111111111111111100000000001 ,% b1 +% b1011110000 a b1011110000 }" b1011110000 ;# b1011110000 @# b1011110000 U% b1011110000 Z% b11101011 >$ b1011101100 C# b1011101100 6% b1011101100 V% b100000000100100010011 B# b100000000100100010011 5% b100000000100100010011 W% b0 G# b0 e$ b0 P% b1 E# b1 c$ b1 R% b1011101000 I# b1011101000 n$ b1011101000 N% b10000000000100000000000010010011 H# b10000000000100000000000010010011 m$ b10000000000100000000000010010011 O% 1' #4740000 0' #4750000 b1 O# b1 B% b1 J% b11111111111111111111100000000001 Q# b11111111111111111111100000000001 @% b11111111111111111111100000000001 I% b1 ># b1 1% b1 c% b11111111111111111111100000000001 ?# b11111111111111111111100000000001 2% b11111111111111111111100000000001 b% b10 j$ b1 [# b1 _$ b1 `% b10010 \# b10010 ^$ b10010 _% b10010 P# b10010 A% b10010 ^% b1 R# b1 ?% b1 ]% b11110 N# b11110 C% b11110 K% b1111000010010000000100011 V b1111000010010000000100011 {" b1111000010010000000100011 5# b10010 f# b10010 H$ b10010 z$ b1 a$ b10010 f$ b0 o$ b1 ,% b10010 +% b10010 /% b1 0% b11110 3% b110011 4% b1011110000 U# b1011110000 &$ b1011110000 <% b1011110000 G% b1001000001000111100110011 T# b1001000001000111100110011 %$ b1001000001000111100110011 =% b1001000001000111100110011 H% 1T b1011110100 W b1011110100 '# b1011110100 3# b1111000010010000000100011 ` b1111000010010000000100011 $# b1111000010010000000100011 <# b1111000010010000000100011 T% 1b b10010 E# b10010 c$ b10010 R% b1011101100 I# b1011101100 n$ b1011101100 N% b100000000100100010011 H# b100000000100100010011 m$ b100000000100100010011 O% b1011110000 C# b1011110000 6% b1011110000 V% b1001000001000111100110011 B# b1001000001000111100110011 5% b1001000001000111100110011 W% b11101100 >$ b1011110100 a b1011110100 }" b1011110100 ;# b1011110100 @# b1011110100 U% b1011110100 Z% 1' #4760000 0' #4770000 b11111111111111111111100000000010 O# b11111111111111111111100000000010 B% b11111111111111111111100000000010 J% b10000001111000 Q# b10000001111000 @% b10000001111000 I% b11111111111111111111100000000010 ># b11111111111111111111100000000010 1% b11111111111111111111100000000010 c% b10000001111000 ?# b10000001111000 2% b10000001111000 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b10011 V b10011 {" b10011 5# 1>% b0 N# b0 C% b0 K% 0M# b11110 P# b11110 A% b11110 ^% b10 R# b10 ?% b10 ]% b11 j$ b11 k$ b1111111111111111111111111111111100000000000000000000011111111111 h$ b11111111111111111111100000000010 [# b11111111111111111111100000000010 _$ b11111111111111111111100000000010 `% b11110 \# b11110 ^$ b11110 _% 1T b1011111000 W b1011111000 '# b1011111000 3# b10011 ` b10011 $# b10011 <# b10011 T% 1b b11110 /% b10 0% b0 3% b10 8% b100011 4% b1011110100 U# b1011110100 &$ b1011110100 <% b1011110100 G% b1111000010010000000100011 T# b1111000010010000000100011 %$ b1111000010010000000100011 =% b1111000010010000000100011 H% b11111111111111111111100000000001 i$ b1 *% b11111111111111111111100000000001 )% b11110 f# b11110 H$ b11110 z$ b1 h# b1 J$ b1 u$ b11111111111111111111100000000001 i# b11111111111111111111100000000001 K$ b11111111111111111111100000000001 t$ b10010 b$ b10010 a$ b1 `$ b11110 f$ b110011 g$ b11111111111111111111100000000010 ,% b11110 +% b1011111000 a b1011111000 }" b1011111000 ;# b1011111000 @# b1011111000 U% b1011111000 Z% b11101101 >$ b1011110100 C# b1011110100 6% b1011110100 V% b1111000010010000000100011 B# b1111000010010000000100011 5% b1111000010010000000100011 W% b1 F# b1 d$ b1 Q% b11111111111111111111100000000001 G# b11111111111111111111100000000001 e$ b11111111111111111111100000000001 P% b11110 E# b11110 c$ b11110 R% b1011110000 I# b1011110000 n$ b1011110000 N% b1001000001000111100110011 H# b1001000001000111100110011 m$ b1001000001000111100110011 O% 1' #4780000 0' #4790000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# b11111111111111111111100000000010 U b11111111111111111111100000000010 (# b11111111111111111111100000000010 4# 1S b10000001111000 g b10000001111000 !# b10000001111000 =# 1`# 1c 1]# 0Z# b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b0 j$ b10 k$ b1111111111111111110111111000100000000001000000110111111100010000 h$ b11111111111111111111100000000010 f b11111111111111111111100000000010 ~" b11111111111111111111100000000010 :# b11111111111111111111100000000010 ^# b11111111111111111111100000000010 '% b10000001111000 a# b10000001111000 $% b10000001111000 _# b10000001111000 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% 1M# b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000001111000 W b10000001111000 '# b10000001111000 3# b1 ` b1 $# b1 <# b1 T% 0b b11111111111111111111100000000010 *% b1000000111011111111110111111001000000011110000 i$ b10000001111000 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b11111111111111111111100000000010 h# b11111111111111111111100000000010 J$ b11111111111111111111100000000010 u$ b10000001111000 i# b10000001111000 K$ b10000001111000 t$ b11110 b$ b11110 a$ b10 `$ b0 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b0 /% b0 0% b0 8% b10011 4% 0>% b1011111000 U# b1011111000 &$ b1011111000 <% b1011111000 G% b10011 T# b10011 %$ b10011 =% b10011 H% b0 "# b11111111111111111111100000000010 F# b11111111111111111111100000000010 d$ b11111111111111111111100000000010 Q% b10000001111000 G# b10000001111000 e$ b10000001111000 P% b0 E# b0 c$ b0 R% 0D# b1011110100 I# b1011110100 n$ b1011110100 N% b1111000010010000000100011 H# b1111000010010000000100011 m$ b1111000010010000000100011 O% b1011111000 C# b1011111000 6% b1011111000 V% b10011 B# b10011 5% b10011 W% b11101110 >$ 1' #4800000 0' #4810000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d 0c 0]# 0`# 1Z# 0M# b0 k$ b0 h$ 0(% b0 g b0 !# b0 =# 0S 0T b0 U b0 (# b0 4# b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b1 4% b1 T# b1 %$ b1 =% b1 H% b0 *% b0 i$ b0 )% b0 g# b0 I$ b0 y$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b0 b$ b0 a$ b0 `$ b0 p$ b10011 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% 1-% b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b11101111 >$ b1 B# b1 5% b1 W% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% 1D# b1011111000 I# b1011111000 n$ b1011111000 N% b10011 H# b10011 m$ b10011 O% 1' #4820000 0' #4830000 0Z# b100010010011 V b100010010011 {" b100010010011 5# b1 g$ 0-% b100010010011 ` b100010010011 $# b100010010011 <# b100010010011 T% 1b b1011111100 W b1011111100 '# b1011111100 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1 "# 0D# b1 H# b1 m$ b1 O% b11110000 >$ b1011111100 a b1011111100 }" b1011111100 ;# b1011111100 @# b1011111100 U% b1011111100 Z% 1' #4840000 0' #4850000 b1000100000000111110110011 V b1000100000000111110110011 {" b1000100000000111110110011 5# b10001 N# b10001 C% b10001 K% 1M# 1T b1100000000 W b1100000000 '# b1100000000 3# b1000100000000111110110011 ` b1000100000000111110110011 $# b1000100000000111110110011 <# b1000100000000111110110011 T% 1b b10001 3% b10011 4% b1011111100 U# b1011111100 &$ b1011111100 <% b1011111100 G% b100010010011 T# b100010010011 %$ b100010010011 =% b100010010011 H% b1100000000 a b1100000000 }" b1100000000 ;# b1100000000 @# b1100000000 U% b1100000000 Z% b11110001 >$ b1011111100 C# b1011111100 6% b1011111100 V% b100010010011 B# b100010010011 5% b100010010011 W% 1' #4860000 0' #4870000 b0 ># b0 1% b0 c% b1 j$ 1Z# b10001 \# b10001 ^$ b10001 _% b10001 P# b10001 A% b10001 ^% b11111 N# b11111 C% b11111 K% b1111100010010001000100011 V b1111100010010001000100011 {" b1111100010010001000100011 5# b10001 f# b10001 H$ b10001 z$ b10001 f$ b10011 g$ b10001 +% 1-% b10001 /% b11111 3% b110011 4% b1100000000 U# b1100000000 &$ b1100000000 <% b1100000000 G% b1000100000000111110110011 T# b1000100000000111110110011 %$ b1000100000000111110110011 =% b1000100000000111110110011 H% 1T b1100000100 W b1100000100 '# b1100000100 3# b1111100010010001000100011 ` b1111100010010001000100011 $# b1111100010010001000100011 <# b1111100010010001000100011 T% 1b b10001 E# b10001 c$ b10001 R% 1D# b1011111100 I# b1011111100 n$ b1011111100 N% b100010010011 H# b100010010011 m$ b100010010011 O% b1100000000 C# b1100000000 6% b1100000000 V% b1000100000000111110110011 B# b1000100000000111110110011 5% b1000100000000111110110011 W% b11110010 >$ b1100000100 a b1100000100 }" b1100000100 ;# b1100000100 @# b1100000100 U% b1100000100 Z% 1' #4880000 0' #4890000 b10000001111000 Q# b10000001111000 @% b10000001111000 I% b0 ># b0 1% b0 c% b10000001111000 ?# b10000001111000 2% b10000001111000 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b11 j$ b1 k$ b10001010010111 V b10001010010111 {" b10001010010111 5# 1>% b0 N# b0 C% b0 K% 0M# b11111 P# b11111 A% b11111 ^% b10 R# b10 ?% b10 ]% b0 [# b0 _$ b0 `% b11111 \# b11111 ^$ b11111 _% 1T b1100001000 W b1100001000 '# b1100001000 3# b10001010010111 ` b10001010010111 $# b10001010010111 <# b10001010010111 T% 1b b11111 /% b10 0% b100 3% b10 8% b100011 4% b1100000100 U# b1100000100 &$ b1100000100 <% b1100000100 G% b1111100010010001000100011 T# b1111100010010001000100011 %$ b1111100010010001000100011 =% b1111100010010001000100011 H% b11111 f# b11111 H$ b11111 z$ b10001 b$ b10001 a$ b11111 f$ b110011 g$ b0 ,% b11111 +% b1100001000 a b1100001000 }" b1100001000 ;# b1100001000 @# b1100001000 U% b1100001000 Z% b11110011 >$ b1100000100 C# b1100000100 6% b1100000100 V% b1111100010010001000100011 B# b1111100010010001000100011 5% b1111100010010001000100011 W% b11111 E# b11111 c$ b11111 R% b1100000000 I# b1100000000 n$ b1100000000 N% b1000100000000111110110011 H# b1000100000000111110110011 m$ b1000100000000111110110011 O% 1' #4900000 0' #4910000 b0 Q# b0 @% b0 I% b0 ?# b0 2% b0 b% 0S# 1S b10000001111100 g b10000001111100 !# b10000001111100 =# 1`# 1c 1]# 0Z# b11111111111111111111111111111111 V b11111111111111111111111111111111 {" b11111111111111111111111111111111 5# b0 j$ b11 k$ b10000001111100 a# b10000001111100 $% b10000001111100 _# b10000001111100 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b101 N# b101 C% b101 K% 1M# b11111111111111111111111111111111 e b11111111111111111111111111111111 ## b11111111111111111111111111111111 9# b11111111111111111111111111111111 ]$ 1h 1T b10000001111100 W b10000001111100 '# b10000001111100 3# b1 ` b1 $# b1 <# b1 T% 0b b10000001111000 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b10000001111000 i# b10000001111000 K$ b10000001111000 t$ b11111 b$ b11111 a$ b10 `$ b100 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b0 /% b0 0% b101 3% b10111 4% 0>% b1100001000 U# b1100001000 &$ b1100001000 <% b1100001000 G% b10001010010111 T# b10001010010111 %$ b10001010010111 =% b10001010010111 H% b0 "# b10000001111000 G# b10000001111000 e$ b10000001111000 P% b0 E# b0 c$ b0 R% 0D# b1100000100 I# b1100000100 n$ b1100000100 N% b1111100010010001000100011 H# b1111100010010001000100011 m$ b1111100010010001000100011 O% b1100001000 C# b1100001000 6% b1100001000 V% b10001010010111 B# b10001010010111 5% b10001010010111 W% b11110100 >$ 1' #4920000 0' #4930000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b10001100001000 [# b10001100001000 _$ b10001100001000 `% 0c 0]# 0`# 1Z# b0 N# b0 C% b0 K% 0M# b1 j$ b0 k$ b10001100001000 ,% 0(% b0 g b0 !# b0 =# b101 \# b101 ^$ b101 _% 0S 0T b0 W b0 '# b0 3# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 3% b0 8% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 )% b101 f# b101 H$ b101 z$ b0 i# b0 K$ b0 t$ b0 b$ b0 a$ b0 `$ b101 f$ b10111 g$ b0 a# b0 $% b0 _# b0 &% 0%% b101 +% 1-% b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b11110101 >$ b1 B# b1 5% b1 W% b0 G# b0 e$ b0 P% b101 E# b101 c$ b101 R% 1D# b1100001000 I# b1100001000 n$ b1100001000 N% b10001010010111 H# b10001010010111 m$ b10001010010111 O% 1' #4940000 0' #4950000 b0 j$ 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11001111100000101000001010010011 V b11001111100000101000001010010011 {" b11001111100000101000001010010011 5# b0 f# b0 H$ b0 z$ b0 g# b0 I$ b0 y$ b0 f$ b0 p$ b1 g$ b0 ,% b0 +% 0-% b11001111100000101000001010010011 ` b11001111100000101000001010010011 $# b11001111100000101000001010010011 <# b11001111100000101000001010010011 T% 1b b1100001100 W b1100001100 '# b1100001100 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1 "# b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b11110110 >$ b1100001100 a b1100001100 }" b1100001100 ;# b1100001100 @# b1100001100 U% b1100001100 Z% 1' #4960000 0' #4970000 b10001100001000 Q# b10001100001000 @% b10001100001000 I% b10001100001000 ?# b10001100001000 2% b10001100001000 b% b10000000000000000001100110111 V b10000000000000000001100110111 {" b10000000000000000001100110111 5# b101 R# b101 ?% b101 ]% b101 N# b101 C% b101 K% 1M# 1T b1100010000 W b1100010000 '# b1100010000 3# b10000000000000000001100110111 ` b10000000000000000001100110111 $# b10000000000000000001100110111 <# b10000000000000000001100110111 T% 1b b11000 /% b101 0% b101 3% b1100111 7% b10011 4% b1100001100 U# b1100001100 &$ b1100001100 <% b1100001100 G% b11001111100000101000001010010011 T# b11001111100000101000001010010011 %$ b11001111100000101000001010010011 =% b11001111100000101000001010010011 H% b1100010000 a b1100010000 }" b1100010000 ;# b1100010000 @# b1100010000 U% b1100010000 Z% b11110111 >$ b1100001100 C# b1100001100 6% b1100001100 V% b11001111100000101000001010010011 B# b11001111100000101000001010010011 5% b11001111100000101000001010010011 W% 1' #4980000 0' #4990000 b0 Q# b0 @% b0 I% b0 ?# b0 2% b0 b% b10000000000000 [# b10000000000000 _$ b10000000000000 `% 1Z# b1 j$ b10000000000000 ,% b101 \# b101 ^$ b101 _% b0 R# b0 ?% b0 ]% b110 N# b110 C% b110 K% b100000110000001100010011 V b100000110000001100010011 {" b100000110000001100010011 5# b10001100001000 )% b101 f# b101 H$ b101 z$ b10001100001000 i# b10001100001000 K$ b10001100001000 t$ b11000 b$ b11111111111111111111110011111000 a$ b101 `$ b101 f$ b1100111 o$ b10011 g$ b101 +% 1-% b0 /% b0 0% b110 3% b1000 7% b110111 4% b1100010000 U# b1100010000 &$ b1100010000 <% b1100010000 G% b10000000000000000001100110111 T# b10000000000000000001100110111 %$ b10000000000000000001100110111 =% b10000000000000000001100110111 H% 1T b1100010100 W b1100010100 '# b1100010100 3# b100000110000001100010011 ` b100000110000001100010011 $# b100000110000001100010011 <# b100000110000001100010011 T% 1b b10001100001000 G# b10001100001000 e$ b10001100001000 P% b101 E# b101 c$ b101 R% 1D# b1100001100 I# b1100001100 n$ b1100001100 N% b11001111100000101000001010010011 H# b11001111100000101000001010010011 m$ b11001111100000101000001010010011 O% b1100010000 C# b1100010000 6% b1100010000 V% b10000000000000000001100110111 B# b10000000000000000001100110111 5% b10000000000000000001100110111 W% b11111000 >$ b1100010100 a b1100010100 }" b1100010100 ;# b1100010100 @# b1100010100 U% b1100010100 Z% 1' #5000000 0' #5010000 b10000000000000000000000000000 Q# b10000000000000000000000000000 @% b10000000000000000000000000000 I% b10000000000000000000000000000 ?# b10000000000000000000000000000 2% b10000000000000000000000000000 b% b10100110010000000100011 V b10100110010000000100011 {" b10100110010000000100011 5# b110 R# b110 ?% b110 ]% b10 j$ b10000000000000000000000000000 [# b10000000000000000000000000000 _$ b10000000000000000000000000000 `% b110 \# b110 ^$ b110 _% 1T b1100011000 W b1100011000 '# b1100011000 3# b10100110010000000100011 ` b10100110010000000100011 $# b10100110010000000100011 <# b10100110010000000100011 T% 1b b1000 /% b110 0% b0 7% b10011 4% b1100010100 U# b1100010100 &$ b1100010100 <% b1100010100 G% b100000110000001100010011 T# b100000110000001100010011 %$ b100000110000001100010011 =% b100000110000001100010011 H% b0 )% b110 f# b110 H$ b110 z$ b0 i# b0 K$ b0 t$ b0 b$ b100000000 a$ b0 `$ b110 f$ b1000 o$ b110111 g$ b10000000000000000000000000000 ,% b110 +% b1100011000 a b1100011000 }" b1100011000 ;# b1100011000 @# b1100011000 U% b1100011000 Z% b11111001 >$ b1100010100 C# b1100010100 6% b1100010100 V% b100000110000001100010011 B# b100000110000001100010011 5% b100000110000001100010011 W% b0 G# b0 e$ b0 P% b110 E# b110 c$ b110 R% b1100010000 I# b1100010000 n$ b1100010000 N% b10000000000000000001100110111 H# b10000000000000000001100110111 m$ b10000000000000000001100110111 O% 1' #5020000 0' #5030000 b10000000000000 O# b10000000000000 B% b10000000000000 J% b10000000000000000000000001000 Q# b10000000000000000000000001000 @% b10000000000000000000000001000 I% b10000000000000000000000001000 ?# b10000000000000000000000001000 2% b10000000000000000000000001000 b% b10000000000000 ># b10000000000000 1% b10000000000000 c% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b10000000000000000000000001000 [# b10000000000000000000000001000 _$ b10000000000000000000000001000 `% 1>% b0 N# b0 C% b0 K% 0M# b101 P# b101 A% b101 ^% b10001010010111 V b10001010010111 {" b10001010010111 5# b10000000000000000000000000000 )% b10000000000000000000000000000 i# b10000000000000000000000000000 K$ b10000000000000000000000000000 t$ b1000 b$ b1000 a$ b110 `$ b0 o$ b10011 g$ b10000000000000000000000001000 ,% b101 /% b0 3% b10 8% b100011 4% b1100011000 U# b1100011000 &$ b1100011000 <% b1100011000 G% b10100110010000000100011 T# b10100110010000000100011 %$ b10100110010000000100011 =% b10100110010000000100011 H% 1T b1100011100 W b1100011100 '# b1100011100 3# b10001010010111 ` b10001010010111 $# b10001010010111 <# b10001010010111 T% 1b b10000000000000000000000000000 G# b10000000000000000000000000000 e$ b10000000000000000000000000000 P% b1100010100 I# b1100010100 n$ b1100010100 N% b100000110000001100010011 H# b100000110000001100010011 m$ b100000110000001100010011 O% b1100011000 C# b1100011000 6% b1100011000 V% b10100110010000000100011 B# b10100110010000000100011 5% b10100110010000000100011 W% b11111010 >$ b1100011100 a b1100011100 }" b1100011100 ;# b1100011100 @# b1100011100 U% b1100011100 Z% 1' #5040000 0' #5050000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# b10000000000000 O b10000000000000 n" b10000000000000 *# b1000 Q b1000 o" b1000 )# 1M 1N b10000000000000000000000001000 g b10000000000000000000000001000 !# b10000000000000000000000001000 =# 1`# 1c 1]# 0Z# b0 U b0 (# b0 4# b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b101 N# b101 C% b101 K% 1M# b0 j$ b1 k$ b1111111111111111111111011111111111111111111111110000000000000000 h$ b10000000000000 f b10000000000000 ~" b10000000000000 :# b10000000000000 ^# b10000000000000 '% b10000000000000000000000001000 a# b10000000000000000000000001000 $% b10000000000000000000000001000 _# b10000000000000000000000001000 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b0 /% b0 0% b101 3% b10111 4% 0>% b1100011100 U# b1100011100 &$ b1100011100 <% b1100011100 G% b10001010010111 T# b10001010010111 %$ b10001010010111 =% b10001010010111 H% b100000000000000000000000010000000000000000 i$ b10000000000000 *% b10000000000000000000000001000 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b10000000000000 h# b10000000000000 J$ b10000000000000 u$ b10000000000000000000000001000 i# b10000000000000000000000001000 K$ b10000000000000000000000001000 t$ b101 b$ b101 a$ b0 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% bx e bx ## bx 9# bx ]$ 1h 0T b0 W b0 '# b0 3# b1 ` b1 $# b1 <# b1 T% 0b b11111011 >$ b1100011100 C# b1100011100 6% b1100011100 V% b10001010010111 B# b10001010010111 5% b10001010010111 W% b10000000000000 F# b10000000000000 d$ b10000000000000 Q% b10000000000000000000000001000 G# b10000000000000000000000001000 e$ b10000000000000000000000001000 P% b0 E# b0 c$ b0 R% 0D# b1100011000 I# b1100011000 n$ b1100011000 N% b10100110010000000100011 H# b10100110010000000100011 m$ b10100110010000000100011 O% b0 "# 1' #5060000 0' #5070000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b10001100011100 [# b10001100011100 _$ b10001100011100 `% 0c 0]# 0`# b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# 1Z# b1 j$ b0 k$ b0 h$ b10001100011100 ,% 0(% b0 W b0 '# b0 3# 0S 0T 0M 0N b0 O b0 n" b0 *# b0 Q b0 o" b0 )# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 g b0 !# b0 =# b101 \# b101 ^$ b101 _% b0 N# b0 C% b0 K% 0M# bx P bx p" bx v" b0 *% b0 i$ b0 )% b101 f# b101 H$ b101 z$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b0 b$ b0 a$ b0 `$ b101 f$ b10111 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b101 +% 1-% b0 3% b0 8% b1 4% b1 T# b1 %$ b1 =% b1 H% b10000000000000 ! b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b101 E# b101 c$ b101 R% 1D# b1100011100 I# b1100011100 n$ b1100011100 N% b10001010010111 H# b10001010010111 m$ b10001010010111 O% b1 B# b1 5% b1 W% b11111100 >$ 1' #5080000 0' #5090000 b0 j$ 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b11010111010000101000001010010011 V b11010111010000101000001010010011 {" b11010111010000101000001010010011 5# b0 f# b0 H$ b0 z$ b0 g# b0 I$ b0 y$ b0 f$ b0 p$ b1 g$ b0 ,% b0 +% 0-% b11010111010000101000001010010011 ` b11010111010000101000001010010011 $# b11010111010000101000001010010011 <# b11010111010000101000001010010011 T% 1b b1100100000 W b1100100000 '# b1100100000 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1100100000 a b1100100000 }" b1100100000 ;# b1100100000 @# b1100100000 U% b1100100000 Z% b11111101 >$ b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b1 "# 1' #5100000 0' #5110000 b10001100011100 Q# b10001100011100 @% b10001100011100 I% b10001100011100 ?# b10001100011100 2% b10001100011100 b% b101 R# b101 ?% b101 ]% b101 N# b101 C% b101 K% 1M# b10000000000000000001100110111 V b10000000000000000001100110111 {" b10000000000000000001100110111 5# b10100 /% b101 0% b101 3% b1101011 7% b10011 4% b1100100000 U# b1100100000 &$ b1100100000 <% b1100100000 G% b11010111010000101000001010010011 T# b11010111010000101000001010010011 %$ b11010111010000101000001010010011 =% b11010111010000101000001010010011 H% 1T b1100100100 W b1100100100 '# b1100100100 3# b10000000000000000001100110111 ` b10000000000000000001100110111 $# b10000000000000000001100110111 <# b10000000000000000001100110111 T% 1b b1100100000 C# b1100100000 6% b1100100000 V% b11010111010000101000001010010011 B# b11010111010000101000001010010011 5% b11010111010000101000001010010011 W% b11111110 >$ b1100100100 a b1100100100 }" b1100100100 ;# b1100100100 @# b1100100100 U% b1100100100 Z% 1' #5120000 0' #5130000 b0 Q# b0 @% b0 I% b0 ?# b0 2% b0 b% b10000010010000 [# b10000010010000 _$ b10000010010000 `% 1Z# b110000110000001100010011 V b110000110000001100010011 {" b110000110000001100010011 5# b0 R# b0 ?% b0 ]% b110 N# b110 C% b110 K% b1 j$ b10000010010000 ,% b101 \# b101 ^$ b101 _% 1T b1100101000 W b1100101000 '# b1100101000 3# b110000110000001100010011 ` b110000110000001100010011 $# b110000110000001100010011 <# b110000110000001100010011 T% 1b b0 /% b0 0% b110 3% b1000 7% b110111 4% b1100100100 U# b1100100100 &$ b1100100100 <% b1100100100 G% b10000000000000000001100110111 T# b10000000000000000001100110111 %$ b10000000000000000001100110111 =% b10000000000000000001100110111 H% b10001100011100 )% b101 f# b101 H$ b101 z$ b10001100011100 i# b10001100011100 K$ b10001100011100 t$ b10100 b$ b11111111111111111111110101110100 a$ b101 `$ b101 f$ b1101011 o$ b10011 g$ b101 +% 1-% b1100101000 a b1100101000 }" b1100101000 ;# b1100101000 @# b1100101000 U% b1100101000 Z% b11111111 >$ b1100100100 C# b1100100100 6% b1100100100 V% b10000000000000000001100110111 B# b10000000000000000001100110111 5% b10000000000000000001100110111 W% b10001100011100 G# b10001100011100 e$ b10001100011100 P% b101 E# b101 c$ b101 R% 1D# b1100100000 I# b1100100000 n$ b1100100000 N% b11010111010000101000001010010011 H# b11010111010000101000001010010011 m$ b11010111010000101000001010010011 O% 1' #5140000 0' #5150000 b10000000000000000000000000000 Q# b10000000000000000000000000000 @% b10000000000000000000000000000 I% b10000000000000000000000000000 ?# b10000000000000000000000000000 2% b10000000000000000000000000000 b% b10 j$ b10000000000000000000000000000 [# b10000000000000000000000000000 _$ b10000000000000000000000000000 `% b110 \# b110 ^$ b110 _% b110 R# b110 ?% b110 ]% b10100110010000000100011 V b10100110010000000100011 {" b10100110010000000100011 5# b0 )% b110 f# b110 H$ b110 z$ b0 i# b0 K$ b0 t$ b0 b$ b100000000 a$ b0 `$ b110 f$ b1000 o$ b110111 g$ b10000000000000000000000000000 ,% b110 +% b1100 /% b110 0% b0 7% b10011 4% b1100101000 U# b1100101000 &$ b1100101000 <% b1100101000 G% b110000110000001100010011 T# b110000110000001100010011 %$ b110000110000001100010011 =% b110000110000001100010011 H% 1T b1100101100 W b1100101100 '# b1100101100 3# b10100110010000000100011 ` b10100110010000000100011 $# b10100110010000000100011 <# b10100110010000000100011 T% 1b b0 G# b0 e$ b0 P% b110 E# b110 c$ b110 R% b1100100100 I# b1100100100 n$ b1100100100 N% b10000000000000000001100110111 H# b10000000000000000001100110111 m$ b10000000000000000001100110111 O% b1100101000 C# b1100101000 6% b1100101000 V% b110000110000001100010011 B# b110000110000001100010011 5% b110000110000001100010011 W% b100000000 >$ b1100101100 a b1100101100 }" b1100101100 ;# b1100101100 @# b1100101100 U% b1100101100 Z% 1' #5160000 0' #5170000 b10000010010000 O# b10000010010000 B% b10000010010000 J% b10000000000000000000000001100 Q# b10000000000000000000000001100 @% b10000000000000000000000001100 I% b10000010010000 ># b10000010010000 1% b10000010010000 c% b10000000000000000000000001100 ?# b10000000000000000000000001100 2% b10000000000000000000000001100 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b100000000001010010011 V b100000000001010010011 {" b100000000001010010011 5# 1>% b0 N# b0 C% b0 K% 0M# b101 P# b101 A% b101 ^% b10000000000000000000000001100 [# b10000000000000000000000001100 _$ b10000000000000000000000001100 `% 1T b1100110000 W b1100110000 '# b1100110000 3# b100000000001010010011 ` b100000000001010010011 $# b100000000001010010011 <# b100000000001010010011 T% 1b b101 /% b0 3% b10 8% b100011 4% b1100101100 U# b1100101100 &$ b1100101100 <% b1100101100 G% b10100110010000000100011 T# b10100110010000000100011 %$ b10100110010000000100011 =% b10100110010000000100011 H% b10000000000000000000000000000 )% b10000000000000000000000000000 i# b10000000000000000000000000000 K$ b10000000000000000000000000000 t$ b1100 b$ b1100 a$ b110 `$ b0 o$ b10011 g$ b10000000000000000000000001100 ,% b1100110000 a b1100110000 }" b1100110000 ;# b1100110000 @# b1100110000 U% b1100110000 Z% b100000001 >$ b1100101100 C# b1100101100 6% b1100101100 V% b10100110010000000100011 B# b10100110010000000100011 5% b10100110010000000100011 W% b10000000000000000000000000000 G# b10000000000000000000000000000 e$ b10000000000000000000000000000 P% b1100101000 I# b1100101000 n$ b1100101000 N% b110000110000001100010011 H# b110000110000001100010011 m$ b110000110000001100010011 O% 1' #5180000 0' #5190000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% 0S# b10000010010000 O b10000010010000 n" b10000010010000 *# b1100 Q b1100 o" b1100 )# 1M 1N b10000000000000000000000001100 g b10000000000000000000000001100 !# b10000000000000000000000001100 =# 1`# 1c 1]# 0Z# b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b0 j$ b1 k$ b1111111111111111111111011111011011111111111111100111100101000000 h$ b10000010010000 f b10000010010000 ~" b10000010010000 :# b10000010010000 ^# b10000010010000 '% b10000000000000000000000001100 a# b10000000000000000000000001100 $% b10000000000000000000000001100 _# b10000000000000000000000001100 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% b101 N# b101 C% b101 K% 1M# bx e bx ## bx 9# bx ]$ 1h 0T b0 W b0 '# b0 3# b1 ` b1 $# b1 <# b1 T% 0b b100000100100000000000000011000011011000000 i$ b10000010010000 *% b10000000000000000000000001100 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b10000010010000 h# b10000010010000 J$ b10000010010000 u$ b10000000000000000000000001100 i# b10000000000000000000000001100 K$ b10000000000000000000000001100 t$ b101 b$ b101 a$ b0 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b1 /% b0 0% b101 3% b0 8% b10011 4% 0>% b1100110000 U# b1100110000 &$ b1100110000 <% b1100110000 G% b100000000001010010011 T# b100000000001010010011 %$ b100000000001010010011 =% b100000000001010010011 H% b0 "# b10000010010000 F# b10000010010000 d$ b10000010010000 Q% b10000000000000000000000001100 G# b10000000000000000000000001100 e$ b10000000000000000000000001100 P% b0 E# b0 c$ b0 R% 0D# b1100101100 I# b1100101100 n$ b1100101100 N% b10100110010000000100011 H# b10100110010000000100011 m$ b10100110010000000100011 O% b1100110000 C# b1100110000 6% b1100110000 V% b100000000001010010011 B# b100000000001010010011 5% b100000000001010010011 W% b100000010 >$ 1' #5200000 0' #5210000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b1 [# b1 _$ b1 `% 0c 0]# 0`# b0 W b0 '# b0 3# 0S 0T 1Z# b0 N# b0 C% b0 K% 0M# b1 j$ b0 h$ b1 ,% 0(% b0 g b0 !# b0 =# b101 \# b101 ^$ b101 _% 0M 0N b0 O b0 n" b0 *# b0 Q b0 o" b0 )# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 1h b0 /% b0 3% b1 4% b1 T# b1 %$ b1 =% b1 H% b0 *% b0 i$ b0 )% b101 f# b101 H$ b101 z$ b0 g# b0 I$ b0 y$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b1 b$ b1 a$ b0 `$ b101 f$ b0 p$ b10011 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% b101 +% 1-% bx P bx p" bx v" b100000011 >$ b1 B# b1 5% b1 W% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% b101 E# b101 c$ b101 R% 1D# b1100110000 I# b1100110000 n$ b1100110000 N% b100000000001010010011 H# b100000000001010010011 m$ b100000000001010010011 O% b10000010010000 " 1' #5220000 0' #5230000 b0 j$ b0 k$ 0Z# b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b10000000000000000001100110111 V b10000000000000000001100110111 {" b10000000000000000001100110111 5# b0 f# b0 H$ b0 z$ b0 b$ b0 a$ b0 f$ b1 g$ b0 ,% b0 +% 0-% b10000000000000000001100110111 ` b10000000000000000001100110111 $# b10000000000000000001100110111 <# b10000000000000000001100110111 T% 1b b1100110100 W b1100110100 '# b1100110100 3# 1T b0 e b0 ## b0 9# b0 ]$ 0h b1 "# b0 E# b0 c$ b0 R% 0D# b1 H# b1 m$ b1 O% b100000100 >$ b1100110100 a b1100110100 }" b1100110100 ;# b1100110100 @# b1100110100 U% b1100110100 Z% 1' #5240000 0' #5250000 b1000000110000001100010011 V b1000000110000001100010011 {" b1000000110000001100010011 5# b110 N# b110 C% b110 K% 1M# 1T b1100111000 W b1100111000 '# b1100111000 3# b1000000110000001100010011 ` b1000000110000001100010011 $# b1000000110000001100010011 <# b1000000110000001100010011 T% 1b b110 3% b1000 7% b110111 4% b1100110100 U# b1100110100 &$ b1100110100 <% b1100110100 G% b10000000000000000001100110111 T# b10000000000000000001100110111 %$ b10000000000000000001100110111 =% b10000000000000000001100110111 H% b1100111000 a b1100111000 }" b1100111000 ;# b1100111000 @# b1100111000 U% b1100111000 Z% b100000101 >$ b1100110100 C# b1100110100 6% b1100110100 V% b10000000000000000001100110111 B# b10000000000000000001100110111 5% b10000000000000000001100110111 W% 1' #5260000 0' #5270000 b10000000000000000000000000000 Q# b10000000000000000000000000000 @% b10000000000000000000000000000 I% b10000000000000000000000000000 ?# b10000000000000000000000000000 2% b10000000000000000000000000000 b% b10 j$ b10000000000000000000000000000 [# b10000000000000000000000000000 _$ b10000000000000000000000000000 `% 1Z# b10000000000000000000000000000 ,% b110 \# b110 ^$ b110 _% b110 R# b110 ?% b110 ]% b10100110010000000100011 V b10100110010000000100011 {" b10100110010000000100011 5# b110 f# b110 H$ b110 z$ b100000000 a$ b110 f$ b1000 o$ b110111 g$ b110 +% 1-% b10000 /% b110 0% b0 7% b10011 4% b1100111000 U# b1100111000 &$ b1100111000 <% b1100111000 G% b1000000110000001100010011 T# b1000000110000001100010011 %$ b1000000110000001100010011 =% b1000000110000001100010011 H% 1T b1100111100 W b1100111100 '# b1100111100 3# b10100110010000000100011 ` b10100110010000000100011 $# b10100110010000000100011 <# b10100110010000000100011 T% 1b b110 E# b110 c$ b110 R% 1D# b1100110100 I# b1100110100 n$ b1100110100 N% b10000000000000000001100110111 H# b10000000000000000001100110111 m$ b10000000000000000001100110111 O% b1100111000 C# b1100111000 6% b1100111000 V% b1000000110000001100010011 B# b1000000110000001100010011 5% b1000000110000001100010011 W% b100000110 >$ b1100111100 a b1100111100 }" b1100111100 ;# b1100111100 @# b1100111100 U% b1100111100 Z% 1' #5280000 0' #5290000 b1 O# b1 B% b1 J% b10000000000000000000000010000 Q# b10000000000000000000000010000 @% b10000000000000000000000010000 I% b1 ># b1 1% b1 c% b10000000000000000000000010000 ?# b10000000000000000000000010000 2% b10000000000000000000000010000 b% b1 t# b1 '$ b1 F$ b1 F% b1 S% b1 X% 1Y b0 &# b11 |" 1d 1S# b10011 V b10011 {" b10011 5# 1>% b0 N# b0 C% b0 K% 0M# b101 P# b101 A% b101 ^% b10000000000000000000000010000 [# b10000000000000000000000010000 _$ b10000000000000000000000010000 `% 1T b1101000000 W b1101000000 '# b1101000000 3# b10011 ` b10011 $# b10011 <# b10011 T% 1b b101 /% b0 3% b10 8% b100011 4% b1100111100 U# b1100111100 &$ b1100111100 <% b1100111100 G% b10100110010000000100011 T# b10100110010000000100011 %$ b10100110010000000100011 =% b10100110010000000100011 H% b10000000000000000000000000000 )% b10000000000000000000000000000 i# b10000000000000000000000000000 K$ b10000000000000000000000000000 t$ b10000 b$ b10000 a$ b110 `$ b0 o$ b10011 g$ b10000000000000000000000010000 ,% b1101000000 a b1101000000 }" b1101000000 ;# b1101000000 @# b1101000000 U% b1101000000 Z% b100000111 >$ b1100111100 C# b1100111100 6% b1100111100 V% b10100110010000000100011 B# b10100110010000000100011 5% b10100110010000000100011 W% b10000000000000000000000000000 G# b10000000000000000000000000000 e$ b10000000000000000000000000000 P% b1100111000 I# b1100111000 n$ b1100111000 N% b1000000110000001100010011 H# b1000000110000001100010011 m$ b1000000110000001100010011 O% 1' #5300000 0' #5310000 b0 O# b0 B% b0 J% b0 Q# b0 @% b0 I% b0 ># b0 1% b0 c% b0 ?# b0 2% b0 b% b0 P b0 p" b0 v" 0S# b1 O b1 n" b1 *# b10000 Q b10000 o" b10000 )# 1M 1N b10000000000000000000000010000 g b10000000000000000000000010000 !# b10000000000000000000000010000 =# 1`# 1c 1]# 0Z# b100110000000000000001101111 V b100110000000000000001101111 {" b100110000000000000001101111 5# b0 j$ b1 k$ b1111111111111111111111111111111111101111111111111111111111110000 h$ b1 f b1 ~" b1 :# b1 ^# b1 '% b10000000000000000000000010000 a# b10000000000000000000000010000 $% b10000000000000000000000010000 _# b10000000000000000000000010000 &% 1%% 1(% b0 [# b0 _$ b0 `% b0 \# b0 ^$ b0 _% b0 P# b0 A% b0 ^% b0 R# b0 ?% b0 ]% 1M# b0 e b0 ## b0 9# b0 ]$ 1h 0T b0 W b0 '# b0 3# b1 ` b1 $# b1 <# b1 T% 0b b10000000000000000000000010000 i$ b1 *% b10000000000000000000000010000 )% b0 f# b0 H$ b0 z$ b10 g# b10 I$ b10 y$ b1 h# b1 J$ b1 u$ b10000000000000000000000010000 i# b10000000000000000000000010000 K$ b10000000000000000000000010000 t$ b101 b$ b101 a$ b0 f$ b10 p$ b100011 g$ b0 ,% b0 +% 0-% b0 /% b0 0% b0 8% b10011 4% 0>% b1101000000 U# b1101000000 &$ b1101000000 <% b1101000000 G% b10011 T# b10011 %$ b10011 =% b10011 H% b0 "# b1 F# b1 d$ b1 Q% b10000000000000000000000010000 G# b10000000000000000000000010000 e$ b10000000000000000000000010000 P% b0 E# b0 c$ b0 R% 0D# b1100111100 I# b1100111100 n$ b1100111100 N% b10100110010000000100011 H# b10100110010000000100011 m$ b10100110010000000100011 O% b1101000000 C# b1101000000 6% b1101000000 V% b10011 B# b10011 5% b10011 W% b100001000 >$ 1' #5320000 0' #5330000 b0 t# b0 '$ b0 F$ b0 F% b0 S% b0 X% 0Y b1 &# b10 |" 0d 0c 0]# 0`# 0S 0T 1Z# 0M# b0 k$ b0 h$ 0(% b0 g b0 !# b0 =# b100110000000000000001101111 e b100110000000000000001101111 ## b100110000000000000001101111 9# b100110000000000000001101111 ]$ 0M 0N b0 O b0 n" b0 *# b0 Q b0 o" b0 )# 1h b1 4% b1 T# b1 %$ b1 =% b1 H% b0 *% b0 i$ b0 )% b0 g# b0 I$ b0 y$ b0 h# b0 J$ b0 u$ b0 i# b0 K$ b0 t$ b0 b$ b0 a$ b0 `$ b0 p$ b10011 g$ b0 f b0 ~" b0 :# b0 ^# b0 '% b0 a# b0 $% b0 _# b0 &% 0%% 1-% b10000010010000 * b10 ) bx P bx p" bx v" b100001001 >$ b1 B# b1 5% b1 W% b0 F# b0 d$ b0 Q% b0 G# b0 e$ b0 P% 1D# b1101000000 I# b1101000000 n$ b1101000000 N% b10011 H# b10011 m$ b10011 O% b1 # 1'