Commit Graph

59 Commits (536d28ede32f3acd0018f58fee90fd9f0a51ea95)

Author SHA1 Message Date
liangkangnan 536d28ede3 temp commit
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-05-17 10:22:04 +08:00
liangkangnan 6e466fbbf7 add perips
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-05-14 21:00:57 +08:00
liangkangnan 5811bdde13 debug: add hw breakpoint support
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-05-14 14:37:47 +08:00
liangkangnan b02b38bddc temp commit
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-05-11 16:21:58 +08:00
liangkangnan 36147d9391 temp commit
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-05-11 10:35:36 +08:00
liangkangnan 4a4c08bc69 temp commit
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-05-04 21:11:43 +08:00
liangkangnan 10d8d35a13 rtl: fix combilation loop
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-05-02 14:51:12 +08:00
liangkangnan 738fba1d6f temp commit
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-04-30 18:27:30 +08:00
liangkangnan f9412fca3c temp commit
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-04-29 19:27:25 +08:00
liangkangnan ec65381ba9 temp commit
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-04-25 17:14:09 +08:00
liangkangnan 462cc4c786 temp commit
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-04-13 19:49:09 +08:00
liangkangnan 9ac1b31965 rtl: add reset module
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-04-13 14:12:47 +08:00
liangkangnan 7803e89d68 temp commit
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-04-13 11:10:06 +08:00
liangkangnan e53f681063 rtl: optimize csr regs
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-04-13 09:25:29 +08:00
liangkangnan bd2d372c66 temp commit
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-04-12 19:18:35 +08:00
liangkangnan ad775ef316 temp commit
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-04-09 20:27:33 +08:00
liangkangnan f1f09584ee optimize ifu and lsu
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-04-09 20:22:34 +08:00
liangkangnan e3667e0ddd temp commit
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-04-01 11:29:00 +08:00
liangkangnan 9943d02600 temp commit
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-03-31 18:00:19 +08:00
liangkangnan c070f0b49d temp commit
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-03-31 15:25:22 +08:00
Blue Liang 8214134b89 tmp commit, unstable
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-03-29 15:14:50 +08:00
liangkangnan fdc776ab5e rtl: debug: support reset cmd
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-12-06 20:06:12 +08:00
liangkangnan f03f42fc9b rtl: add reset ctrl module
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-11-18 22:15:08 +08:00
liangkangnan 5c9f1a140e rtl: add mem access misaligned exception
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-11-08 22:08:03 +08:00
liangkangnan 2b44f1e8f3 first release
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-10-23 21:26:18 +08:00
liangkangnan 29623c8d2a rtl: div: fix error
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-09-14 22:22:42 +08:00
liangkangnan 8c3d7ac932 rtl: div: timing optimization
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-09-12 14:17:34 +08:00
liangkangnan b57bfe7736 rtl: timing optimization
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-09-09 21:00:14 +08:00
liangkangnan b6754f002c rtl: div: optimization
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-09-06 23:17:56 +08:00
liangkangnan 0ed81ff1a8 rtl: remove unused signals
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-08-29 22:35:43 +08:00
liangkangnan 10a3df3e5a rtl: core: fix sync interrupt
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-08-15 16:05:06 +08:00
Blue Liang fccb920070 rtl: core: optimization
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-08-13 09:01:27 +08:00
Blue Liang fa958a6153 rtl: rib: arbitrated by logic instead of clock
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-08-13 08:56:01 +08:00
liangkangnan e23ad11e7e rtl: fix sync interrupt return address
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-07-25 22:15:03 +08:00
liangkangnan b39062a4ea rtl: fix interrupt return address
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-07-25 16:23:45 +08:00
liangkangnan a73b0ea36b rtl: add uart_debug module
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-07-04 14:32:31 +08:00
liangkangnan e28381dbcf add support for ebreak inst
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-06-13 14:56:44 +08:00
liangkangnan 5b888bd483 rtl: core: fix data related for csr regs
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-06-05 22:22:49 +08:00
liangkangnan eec414aa96 use = instead of <=
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-05-31 14:38:57 +08:00
liangkangnan 260246f488 fix nop inst
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-05-07 22:40:31 +08:00
liangkangnan 07b33baf94 perips: add spi master
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-05-05 18:31:08 +08:00
liangkangnan 837af2c977 use = instead of <= in combination logic
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-05-02 11:58:44 +08:00
liangkangnan aead35700c add signal
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-04-25 17:15:46 +08:00
liangkangnan 02d19b9e6f add mie and mstatus reg
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-04-25 17:13:12 +08:00
liangkangnan 4a530ab894 add ECALL inst
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-04-25 17:11:53 +08:00
liangkangnan 43aca8195c add clint hold input signal
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-04-25 17:08:46 +08:00
liangkangnan 6cf86e0286 stop div when interrupt assert
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-04-25 17:04:44 +08:00
liangkangnan 09513f8f2c support preemption
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-04-25 17:03:13 +08:00
liangkangnan dcac95dfab add code comments
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-04-18 20:14:37 +08:00
liangkangnan ce225394df fix reg1 reg2 bits width
Signed-off-by: liangkangnan <liangkangnan@163.com>
2020-04-18 11:35:43 +08:00