Commit Graph

310 Commits (274b19363ba4c3ab38eebff00877f0b24335b1ed)

Author SHA1 Message Date
liangkangnan 274b19363b rtl:perips:spi: fix ss delay ctrl by sw
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-11-01 09:55:10 +08:00
liangkangnan 1e510dab9d rtl: utils: add up_counter module
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-11-01 09:52:46 +08:00
liangkangnan d8a7a67787 sdk:lib: add flash n25q
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-10-22 09:02:43 +08:00
liangkangnan 90d9349128 sdk:lib:spi: update fifo op
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-10-12 10:31:57 +08:00
liangkangnan 448f733f22 sdk:include:spi: add fifo reset
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-10-12 10:23:16 +08:00
liangkangnan b6d3b39f4d rtl:perips:spi: add fifo reset
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-10-12 10:22:02 +08:00
liangkangnan 04d4dd8dfa regtool: update README.md
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-10-12 10:16:32 +08:00
liangkangnan 0667139d7e sdk:examples: remove spi_master2
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-09-29 09:26:57 +08:00
liangkangnan 2d9aab4ecb sdk: add arg for multi perips
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-09-29 09:24:04 +08:00
liangkangnan 9a8637be12 sdk:examples: update spi_master
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-09-24 09:26:02 +08:00
liangkangnan 22e6866dea sdk:examples:spi_master: add quad fast read
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-09-23 09:45:48 +08:00
liangkangnan 75de08cfc8 sdk:examples: add spi_master
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-09-17 09:09:38 +08:00
liangkangnan 718e16a46d sdk:bsp: add spi
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-09-17 09:08:29 +08:00
liangkangnan 3903d9e7f4 rtl:pinmux: add more spi mux
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-09-17 09:06:47 +08:00
liangkangnan d40f39a091 sdk:examples: use pinmux to config IO
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-09-13 18:11:20 +08:00
liangkangnan 3b7fa13a73 fpga:constrs: reassign pins
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-09-10 09:59:34 +08:00
liangkangnan 22a038cc09 rtl🔝 add more perips
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-09-10 09:57:39 +08:00
liangkangnan 519ef32ae7 sdk: adapt to more perips
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-09-10 09:56:21 +08:00
liangkangnan 55f37e93fa rtl:perips:gpio: increase to 16 gpios
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-09-10 09:51:08 +08:00
liangkangnan 4086a2d863 rtl:perips: add pinmux
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-09-10 09:48:44 +08:00
liangkangnan 4d0f63ef86 sdk:examples: add int_preempt
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-09-07 09:46:09 +08:00
liangkangnan 57690b00bd rtl:perips: add spi master
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-09-06 10:01:56 +08:00
liangkangnan f74f2d8f5d rtl:utils:edge_detect: add DP parameter
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-09-01 14:14:36 +08:00
liangkangnan 574708bd89 rtl:utils:gen_buf: add handle for DP=0
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-09-01 14:12:21 +08:00
liangkangnan ae3ff5a211 rtl🚌 use gnt and rvalid signal
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-09-01 09:54:32 +08:00
liangkangnan f7231a2f15 sdk:examples: add i2c_slave
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-08-26 09:28:23 +08:00
liangkangnan 2c11873056 rtl:i2c: fix slave read error
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-08-26 09:25:18 +08:00
liangkangnan e708eb6d4d rtl:perips:i2c: add i2c slave
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-08-25 17:51:35 +08:00
liangkangnan 7e57d8db17 rtl:perips:rvic: bug fix
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-08-25 17:47:18 +08:00
liangkangnan 9905a7c3a2 sdk:bsp: add i2c0 slave api
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-08-20 14:17:01 +08:00
liangkangnan 08492931fc bsp:lib:sim_ctrl: add dump wave api
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-08-20 11:51:49 +08:00
liangkangnan 92e1e5a77a sim: add dump wave enable by softwave
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-08-20 11:50:21 +08:00
liangkangnan facd5d31f4 sdk:examples: add i2c_master
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-08-20 09:26:13 +08:00
liangkangnan 3f400f2fb8 tmp commit
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-08-19 17:45:45 +08:00
liangkangnan 1218a1ef4b sdk: rename to timer0_irq_handler
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-08-19 10:22:18 +08:00
liangkangnan 4ac826a398 sdk:bsp: add i2c
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-08-19 09:45:40 +08:00
liangkangnan cd9e219d1b fpga:xilinx:constrs: add i2c pin
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-08-19 09:44:36 +08:00
liangkangnan 2afcba47ea rtl:perips: add i2c master
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-08-19 09:43:12 +08:00
liangkangnan 6143d9ee6a rtl:gpio: remove gpio.h
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-08-17 10:20:30 +08:00
liangkangnan 12467d1554 sdk:bsp: adapte to new rvic
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-08-14 14:05:08 +08:00
liangkangnan d4b670217a rtl:perips: rewrite rvic
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-08-14 14:03:47 +08:00
liangkangnan 477d9efc34 sdk:examples: adapte to new perips
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-08-13 10:07:13 +08:00
liangkangnan fdd953c0f0 sdk:bsp: update gpio
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-08-13 09:35:56 +08:00
liangkangnan 5fa659a084 rtl:perips: rewrite gpio
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-08-13 09:33:15 +08:00
liangkangnan 9387f56a33 sdk:examples: add uart_int
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-08-13 09:31:19 +08:00
liangkangnan aaa5684cc9 sdk:bsp: remove machine_timer
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-08-11 14:47:35 +08:00
liangkangnan 0437ff99da sdk: examples: remove machine_timer
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-08-10 11:30:08 +08:00
liangkangnan 79f83c1ad4 tmp commit
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-08-10 11:26:46 +08:00
liangkangnan ad5adcb843 tmp commit
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-08-10 11:09:53 +08:00
liangkangnan c4fe45ffaf sdk: examples: add timer
Signed-off-by: liangkangnan <liangkangnan@163.com>
2021-08-10 09:54:20 +08:00