fpga: constrs: add spi flash config

Signed-off-by: liangkangnan <liangkangnan@163.com>
pull/1/head
liangkangnan 2020-06-14 22:13:44 +08:00
parent 2c8762a102
commit f2010755bb
1 changed files with 4 additions and 0 deletions

View File

@ -67,3 +67,7 @@ set_property PACKAGE_PIN M5 [get_ports spi_ss]
# SPI CLK引脚 # SPI CLK引脚
set_property IOSTANDARD LVCMOS33 [get_ports spi_clk] set_property IOSTANDARD LVCMOS33 [get_ports spi_clk]
set_property PACKAGE_PIN N4 [get_ports spi_clk] set_property PACKAGE_PIN N4 [get_ports spi_clk]
set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]
set_property CONFIG_MODE SPIx4 [current_design]
set_property BITSTREAM.CONFIG.CONFIGRATE 50 [current_design]