From 96b2eca546f31356ea1d6f105b320905d569dadf Mon Sep 17 00:00:00 2001 From: liangkangnan Date: Sat, 4 Jul 2020 17:31:58 +0800 Subject: [PATCH] tb: set uart debug disable Signed-off-by: liangkangnan --- tb/compliance_test/tinyriscv_soc_tb.v | 3 ++- tb/tinyriscv_soc_tb.v | 3 ++- 2 files changed, 4 insertions(+), 2 deletions(-) diff --git a/tb/compliance_test/tinyriscv_soc_tb.v b/tb/compliance_test/tinyriscv_soc_tb.v index 2377561..5611e13 100644 --- a/tb/compliance_test/tinyriscv_soc_tb.v +++ b/tb/compliance_test/tinyriscv_soc_tb.v @@ -510,7 +510,8 @@ module tinyriscv_soc_tb; tinyriscv_soc_top tinyriscv_soc_top_0( .clk(clk), - .rst(rst)/* + .rst(rst), + .uart_debug_pin(1'b0)/* .jtag_TCK(TCK), .jtag_TMS(TMS), .jtag_TDI(TDI), diff --git a/tb/tinyriscv_soc_tb.v b/tb/tinyriscv_soc_tb.v index 0ff93fe..b69c98a 100644 --- a/tb/tinyriscv_soc_tb.v +++ b/tb/tinyriscv_soc_tb.v @@ -496,7 +496,8 @@ module tinyriscv_soc_tb; tinyriscv_soc_top tinyriscv_soc_top_0( .clk(clk), - .rst(rst)/* + .rst(rst), + .uart_debug_pin(1'b0)/* .jtag_TCK(TCK), .jtag_TMS(TMS), .jtag_TDI(TDI),