From 8c751095fd3b5a72a7d458ef77dcc8c412132b82 Mon Sep 17 00:00:00 2001 From: liangkangnan Date: Sat, 4 Jul 2020 14:33:33 +0800 Subject: [PATCH] fpga: constrs: add uart_debug_en pin Signed-off-by: liangkangnan --- fpga/constrs/tinyriscv.xdc | 12 ++++++++---- 1 file changed, 8 insertions(+), 4 deletions(-) diff --git a/fpga/constrs/tinyriscv.xdc b/fpga/constrs/tinyriscv.xdc index 078ffaf..8614e72 100644 --- a/fpga/constrs/tinyriscv.xdc +++ b/fpga/constrs/tinyriscv.xdc @@ -22,13 +22,17 @@ set_property PACKAGE_PIN N16 [get_ports succ] set_property IOSTANDARD LVCMOS33 [get_ports halted_ind] set_property PACKAGE_PIN P15 [get_ports halted_ind] +# 串口下载使能引脚 +set_property IOSTANDARD LVCMOS33 [get_ports uart_debug_pin] +set_property PACKAGE_PIN K13 [get_ports uart_debug_pin] + # 串口发送引脚 -set_property IOSTANDARD LVCMOS33 [get_ports tx_pin] -set_property PACKAGE_PIN M6 [get_ports tx_pin] +set_property IOSTANDARD LVCMOS33 [get_ports uart_tx_pin] +set_property PACKAGE_PIN M6 [get_ports uart_tx_pin] # 串口接收引脚 -set_property IOSTANDARD LVCMOS33 [get_ports rx_pin] -set_property PACKAGE_PIN N6 [get_ports rx_pin] +set_property IOSTANDARD LVCMOS33 [get_ports uart_rx_pin] +set_property PACKAGE_PIN N6 [get_ports uart_rx_pin] # GPIO0引脚 set_property IOSTANDARD LVCMOS33 [get_ports {gpio[0]}]