From 6059c4c3a761de7c95bdc2025a1ac777a267d47b Mon Sep 17 00:00:00 2001 From: liangkangnan Date: Sat, 5 Jun 2021 15:11:33 +0800 Subject: [PATCH] tests: isa: add support Signed-off-by: liangkangnan --- tests/isa/Makefile | 4 +- tests/isa/README.md | 38 +- tests/isa/generated/rv32ui-p-add | Bin 7032 -> 7096 bytes tests/isa/generated/rv32ui-p-add.bin | Bin 1416 -> 1416 bytes tests/isa/generated/rv32ui-p-add.dump | 23 +- tests/isa/generated/rv32ui-p-add.mem | 354 ++++++ tests/isa/generated/rv32ui-p-add.verilog | 176 +-- tests/isa/generated/rv32ui-p-addi | Bin 6144 -> 6208 bytes tests/isa/generated/rv32ui-p-addi.bin | Bin 840 -> 840 bytes tests/isa/generated/rv32ui-p-addi.dump | 23 +- tests/isa/generated/rv32ui-p-addi.mem | 210 ++++ tests/isa/generated/rv32ui-p-addi.verilog | 104 +- tests/isa/generated/rv32ui-p-and | Bin 6768 -> 6832 bytes tests/isa/generated/rv32ui-p-and.bin | Bin 1416 -> 1416 bytes tests/isa/generated/rv32ui-p-and.dump | 23 +- tests/isa/generated/rv32ui-p-and.mem | 354 ++++++ tests/isa/generated/rv32ui-p-and.verilog | 176 +-- tests/isa/generated/rv32ui-p-andi | Bin 5688 -> 5752 bytes tests/isa/generated/rv32ui-p-andi.bin | Bin 648 -> 648 bytes tests/isa/generated/rv32ui-p-andi.dump | 23 +- tests/isa/generated/rv32ui-p-andi.mem | 162 +++ tests/isa/generated/rv32ui-p-andi.verilog | 80 +- tests/isa/generated/rv32ui-p-auipc | Bin 4980 -> 5044 bytes tests/isa/generated/rv32ui-p-auipc.bin | Bin 200 -> 200 bytes tests/isa/generated/rv32ui-p-auipc.dump | 23 +- tests/isa/generated/rv32ui-p-auipc.mem | 50 + tests/isa/generated/rv32ui-p-auipc.verilog | 30 +- tests/isa/generated/rv32ui-p-beq | Bin 6112 -> 6176 bytes tests/isa/generated/rv32ui-p-beq.bin | Bin 904 -> 904 bytes tests/isa/generated/rv32ui-p-beq.dump | 23 +- tests/isa/generated/rv32ui-p-beq.mem | 226 ++++ tests/isa/generated/rv32ui-p-beq.verilog | 112 +- tests/isa/generated/rv32ui-p-bge | Bin 6248 -> 6312 bytes tests/isa/generated/rv32ui-p-bge.bin | Bin 968 -> 968 bytes tests/isa/generated/rv32ui-p-bge.dump | 23 +- tests/isa/generated/rv32ui-p-bge.mem | 242 ++++ tests/isa/generated/rv32ui-p-bge.verilog | 120 +- tests/isa/generated/rv32ui-p-bgeu | Bin 6312 -> 6376 bytes tests/isa/generated/rv32ui-p-bgeu.bin | Bin 1032 -> 1032 bytes tests/isa/generated/rv32ui-p-bgeu.dump | 23 +- tests/isa/generated/rv32ui-p-bgeu.mem | 258 +++++ tests/isa/generated/rv32ui-p-bgeu.verilog | 128 +-- tests/isa/generated/rv32ui-p-blt | Bin 6112 -> 6176 bytes tests/isa/generated/rv32ui-p-blt.bin | Bin 904 -> 904 bytes tests/isa/generated/rv32ui-p-blt.dump | 23 +- tests/isa/generated/rv32ui-p-blt.mem | 226 ++++ tests/isa/generated/rv32ui-p-blt.verilog | 112 +- tests/isa/generated/rv32ui-p-bltu | Bin 6176 -> 6240 bytes tests/isa/generated/rv32ui-p-bltu.bin | Bin 968 -> 968 bytes tests/isa/generated/rv32ui-p-bltu.dump | 23 +- tests/isa/generated/rv32ui-p-bltu.mem | 242 ++++ tests/isa/generated/rv32ui-p-bltu.verilog | 120 +- tests/isa/generated/rv32ui-p-bne | Bin 6112 -> 6176 bytes tests/isa/generated/rv32ui-p-bne.bin | Bin 904 -> 904 bytes tests/isa/generated/rv32ui-p-bne.dump | 23 +- tests/isa/generated/rv32ui-p-bne.mem | 226 ++++ tests/isa/generated/rv32ui-p-bne.verilog | 112 +- tests/isa/generated/rv32ui-p-fence_i | Bin 9096 -> 9160 bytes tests/isa/generated/rv32ui-p-fence_i.bin | Bin 4232 -> 4232 bytes tests/isa/generated/rv32ui-p-fence_i.dump | 23 +- tests/isa/generated/rv32ui-p-fence_i.mem | 1058 ++++++++++++++++++ tests/isa/generated/rv32ui-p-fence_i.verilog | 56 +- tests/isa/generated/rv32ui-p-jal | Bin 5096 -> 5160 bytes tests/isa/generated/rv32ui-p-jal.bin | Bin 264 -> 264 bytes tests/isa/generated/rv32ui-p-jal.dump | 23 +- tests/isa/generated/rv32ui-p-jal.mem | 66 ++ tests/isa/generated/rv32ui-p-jal.verilog | 32 +- tests/isa/generated/rv32ui-p-jalr | Bin 5292 -> 5360 bytes tests/isa/generated/rv32ui-p-jalr.bin | Bin 392 -> 392 bytes tests/isa/generated/rv32ui-p-jalr.dump | 23 +- tests/isa/generated/rv32ui-p-jalr.mem | 98 ++ tests/isa/generated/rv32ui-p-jalr.verilog | 48 +- tests/isa/generated/rv32ui-p-lb | Bin 9560 -> 9628 bytes tests/isa/generated/rv32ui-p-lb.bin | Bin 4232 -> 4232 bytes tests/isa/generated/rv32ui-p-lb.dump | 23 +- tests/isa/generated/rv32ui-p-lb.mem | 1058 ++++++++++++++++++ tests/isa/generated/rv32ui-p-lb.verilog | 100 +- tests/isa/generated/rv32ui-p-lbu | Bin 9560 -> 9628 bytes tests/isa/generated/rv32ui-p-lbu.bin | Bin 4232 -> 4232 bytes tests/isa/generated/rv32ui-p-lbu.dump | 23 +- tests/isa/generated/rv32ui-p-lbu.mem | 1058 ++++++++++++++++++ tests/isa/generated/rv32ui-p-lbu.verilog | 100 +- tests/isa/generated/rv32ui-p-lh | Bin 9560 -> 9628 bytes tests/isa/generated/rv32ui-p-lh.bin | Bin 4232 -> 4232 bytes tests/isa/generated/rv32ui-p-lh.dump | 23 +- tests/isa/generated/rv32ui-p-lh.mem | 1058 ++++++++++++++++++ tests/isa/generated/rv32ui-p-lh.verilog | 108 +- tests/isa/generated/rv32ui-p-lhu | Bin 9560 -> 9628 bytes tests/isa/generated/rv32ui-p-lhu.bin | Bin 4232 -> 4232 bytes tests/isa/generated/rv32ui-p-lhu.dump | 23 +- tests/isa/generated/rv32ui-p-lhu.mem | 1058 ++++++++++++++++++ tests/isa/generated/rv32ui-p-lhu.verilog | 108 +- tests/isa/generated/rv32ui-p-lui | Bin 5112 -> 5180 bytes tests/isa/generated/rv32ui-p-lui.bin | Bin 264 -> 264 bytes tests/isa/generated/rv32ui-p-lui.dump | 23 +- tests/isa/generated/rv32ui-p-lui.mem | 66 ++ tests/isa/generated/rv32ui-p-lui.verilog | 32 +- tests/isa/generated/rv32ui-p-lw | Bin 9560 -> 9628 bytes tests/isa/generated/rv32ui-p-lw.bin | Bin 4232 -> 4232 bytes tests/isa/generated/rv32ui-p-lw.dump | 23 +- tests/isa/generated/rv32ui-p-lw.mem | 1058 ++++++++++++++++++ tests/isa/generated/rv32ui-p-lw.verilog | 108 +- tests/isa/generated/rv32ui-p-or | Bin 6768 -> 6832 bytes tests/isa/generated/rv32ui-p-or.bin | Bin 1416 -> 1416 bytes tests/isa/generated/rv32ui-p-or.dump | 23 +- tests/isa/generated/rv32ui-p-or.mem | 354 ++++++ tests/isa/generated/rv32ui-p-or.verilog | 176 +-- tests/isa/generated/rv32ui-p-ori | Bin 5688 -> 5752 bytes tests/isa/generated/rv32ui-p-ori.bin | Bin 648 -> 648 bytes tests/isa/generated/rv32ui-p-ori.dump | 23 +- tests/isa/generated/rv32ui-p-ori.mem | 162 +++ tests/isa/generated/rv32ui-p-ori.verilog | 80 +- tests/isa/generated/rv32ui-p-sb | Bin 9792 -> 9856 bytes tests/isa/generated/rv32ui-p-sb.bin | Bin 4232 -> 4232 bytes tests/isa/generated/rv32ui-p-sb.dump | 23 +- tests/isa/generated/rv32ui-p-sb.mem | 1058 ++++++++++++++++++ tests/isa/generated/rv32ui-p-sb.verilog | 156 +-- tests/isa/generated/rv32ui-p-sh | Bin 9792 -> 9856 bytes tests/isa/generated/rv32ui-p-sh.bin | Bin 4232 -> 4232 bytes tests/isa/generated/rv32ui-p-sh.dump | 23 +- tests/isa/generated/rv32ui-p-sh.mem | 1058 ++++++++++++++++++ tests/isa/generated/rv32ui-p-sh.verilog | 174 +-- tests/isa/generated/rv32ui-p-simple | Bin 4876 -> 4940 bytes tests/isa/generated/rv32ui-p-simple.bin | Bin 200 -> 200 bytes tests/isa/generated/rv32ui-p-simple.dump | 19 +- tests/isa/generated/rv32ui-p-simple.mem | 50 + tests/isa/generated/rv32ui-p-simple.verilog | 24 +- tests/isa/generated/rv32ui-p-sll | Bin 7256 -> 7320 bytes tests/isa/generated/rv32ui-p-sll.bin | Bin 1544 -> 1544 bytes tests/isa/generated/rv32ui-p-sll.dump | 23 +- tests/isa/generated/rv32ui-p-sll.mem | 386 +++++++ tests/isa/generated/rv32ui-p-sll.verilog | 192 ++-- tests/isa/generated/rv32ui-p-slli | Bin 6144 -> 6208 bytes tests/isa/generated/rv32ui-p-slli.bin | Bin 840 -> 840 bytes tests/isa/generated/rv32ui-p-slli.dump | 23 +- tests/isa/generated/rv32ui-p-slli.mem | 210 ++++ tests/isa/generated/rv32ui-p-slli.verilog | 104 +- tests/isa/generated/rv32ui-p-slt | Bin 7032 -> 7096 bytes tests/isa/generated/rv32ui-p-slt.bin | Bin 1416 -> 1416 bytes tests/isa/generated/rv32ui-p-slt.dump | 23 +- tests/isa/generated/rv32ui-p-slt.mem | 354 ++++++ tests/isa/generated/rv32ui-p-slt.verilog | 176 +-- tests/isa/generated/rv32ui-p-slti | Bin 6144 -> 6208 bytes tests/isa/generated/rv32ui-p-slti.bin | Bin 840 -> 840 bytes tests/isa/generated/rv32ui-p-slti.dump | 23 +- tests/isa/generated/rv32ui-p-slti.mem | 210 ++++ tests/isa/generated/rv32ui-p-slti.verilog | 104 +- tests/isa/generated/rv32ui-p-sltiu | Bin 6144 -> 6208 bytes tests/isa/generated/rv32ui-p-sltiu.bin | Bin 840 -> 840 bytes tests/isa/generated/rv32ui-p-sltiu.dump | 23 +- tests/isa/generated/rv32ui-p-sltiu.mem | 210 ++++ tests/isa/generated/rv32ui-p-sltiu.verilog | 104 +- tests/isa/generated/rv32ui-p-sltu | Bin 7032 -> 7096 bytes tests/isa/generated/rv32ui-p-sltu.bin | Bin 1416 -> 1416 bytes tests/isa/generated/rv32ui-p-sltu.dump | 23 +- tests/isa/generated/rv32ui-p-sltu.mem | 354 ++++++ tests/isa/generated/rv32ui-p-sltu.verilog | 176 +-- tests/isa/generated/rv32ui-p-sra | Bin 7344 -> 7408 bytes tests/isa/generated/rv32ui-p-sra.bin | Bin 1608 -> 1608 bytes tests/isa/generated/rv32ui-p-sra.dump | 23 +- tests/isa/generated/rv32ui-p-sra.mem | 402 +++++++ tests/isa/generated/rv32ui-p-sra.verilog | 200 ++-- tests/isa/generated/rv32ui-p-srai | Bin 6208 -> 6272 bytes tests/isa/generated/rv32ui-p-srai.bin | Bin 904 -> 904 bytes tests/isa/generated/rv32ui-p-srai.dump | 23 +- tests/isa/generated/rv32ui-p-srai.mem | 226 ++++ tests/isa/generated/rv32ui-p-srai.verilog | 112 +- tests/isa/generated/rv32ui-p-srl | Bin 7344 -> 7408 bytes tests/isa/generated/rv32ui-p-srl.bin | Bin 1608 -> 1608 bytes tests/isa/generated/rv32ui-p-srl.dump | 23 +- tests/isa/generated/rv32ui-p-srl.mem | 402 +++++++ tests/isa/generated/rv32ui-p-srl.verilog | 200 ++-- tests/isa/generated/rv32ui-p-srli | Bin 6144 -> 6208 bytes tests/isa/generated/rv32ui-p-srli.bin | Bin 840 -> 840 bytes tests/isa/generated/rv32ui-p-srli.dump | 23 +- tests/isa/generated/rv32ui-p-srli.mem | 210 ++++ tests/isa/generated/rv32ui-p-srli.verilog | 104 +- tests/isa/generated/rv32ui-p-sub | Bin 7008 -> 7072 bytes tests/isa/generated/rv32ui-p-sub.bin | Bin 1416 -> 1416 bytes tests/isa/generated/rv32ui-p-sub.dump | 23 +- tests/isa/generated/rv32ui-p-sub.mem | 354 ++++++ tests/isa/generated/rv32ui-p-sub.verilog | 176 +-- tests/isa/generated/rv32ui-p-sw | Bin 9792 -> 9856 bytes tests/isa/generated/rv32ui-p-sw.bin | Bin 4232 -> 4232 bytes tests/isa/generated/rv32ui-p-sw.dump | 23 +- tests/isa/generated/rv32ui-p-sw.mem | 1058 ++++++++++++++++++ tests/isa/generated/rv32ui-p-sw.verilog | 176 +-- tests/isa/generated/rv32ui-p-xor | Bin 6768 -> 6832 bytes tests/isa/generated/rv32ui-p-xor.bin | Bin 1416 -> 1416 bytes tests/isa/generated/rv32ui-p-xor.dump | 23 +- tests/isa/generated/rv32ui-p-xor.mem | 354 ++++++ tests/isa/generated/rv32ui-p-xor.verilog | 176 +-- tests/isa/generated/rv32ui-p-xori | Bin 5688 -> 5752 bytes tests/isa/generated/rv32ui-p-xori.bin | Bin 648 -> 648 bytes tests/isa/generated/rv32ui-p-xori.dump | 23 +- tests/isa/generated/rv32ui-p-xori.mem | 162 +++ tests/isa/generated/rv32ui-p-xori.verilog | 80 +- tests/isa/generated/rv32um-p-div | Bin 5336 -> 5404 bytes tests/isa/generated/rv32um-p-div.bin | Bin 392 -> 392 bytes tests/isa/generated/rv32um-p-div.dump | 27 +- tests/isa/generated/rv32um-p-div.mem | 98 ++ tests/isa/generated/rv32um-p-div.verilog | 48 +- tests/isa/generated/rv32um-p-divu | Bin 5336 -> 5404 bytes tests/isa/generated/rv32um-p-divu.bin | Bin 392 -> 392 bytes tests/isa/generated/rv32um-p-divu.dump | 27 +- tests/isa/generated/rv32um-p-divu.mem | 98 ++ tests/isa/generated/rv32um-p-divu.verilog | 48 +- tests/isa/generated/rv32um-p-mul | Bin 7008 -> 7076 bytes tests/isa/generated/rv32um-p-mul.bin | Bin 1416 -> 1416 bytes tests/isa/generated/rv32um-p-mul.dump | 27 +- tests/isa/generated/rv32um-p-mul.mem | 354 ++++++ tests/isa/generated/rv32um-p-mul.verilog | 176 +-- tests/isa/generated/rv32um-p-mulh | Bin 6960 -> 7028 bytes tests/isa/generated/rv32um-p-mulh.bin | Bin 1416 -> 1416 bytes tests/isa/generated/rv32um-p-mulh.dump | 27 +- tests/isa/generated/rv32um-p-mulh.mem | 354 ++++++ tests/isa/generated/rv32um-p-mulh.verilog | 176 +-- tests/isa/generated/rv32um-p-mulhsu | Bin 6960 -> 7028 bytes tests/isa/generated/rv32um-p-mulhsu.bin | Bin 1416 -> 1416 bytes tests/isa/generated/rv32um-p-mulhsu.dump | 27 +- tests/isa/generated/rv32um-p-mulhsu.mem | 354 ++++++ tests/isa/generated/rv32um-p-mulhsu.verilog | 176 +-- tests/isa/generated/rv32um-p-mulhu | Bin 6960 -> 7028 bytes tests/isa/generated/rv32um-p-mulhu.bin | Bin 1416 -> 1416 bytes tests/isa/generated/rv32um-p-mulhu.dump | 27 +- tests/isa/generated/rv32um-p-mulhu.mem | 354 ++++++ tests/isa/generated/rv32um-p-mulhu.verilog | 176 +-- tests/isa/generated/rv32um-p-rem | Bin 5336 -> 5404 bytes tests/isa/generated/rv32um-p-rem.bin | Bin 392 -> 392 bytes tests/isa/generated/rv32um-p-rem.dump | 27 +- tests/isa/generated/rv32um-p-rem.mem | 98 ++ tests/isa/generated/rv32um-p-rem.verilog | 48 +- tests/isa/generated/rv32um-p-remu | Bin 5336 -> 5404 bytes tests/isa/generated/rv32um-p-remu.bin | Bin 392 -> 392 bytes tests/isa/generated/rv32um-p-remu.dump | 27 +- tests/isa/generated/rv32um-p-remu.mem | 98 ++ tests/isa/generated/rv32um-p-remu.verilog | 48 +- tests/isa/riscv_test.h | 4 +- 238 files changed, 22246 insertions(+), 2967 deletions(-) mode change 100644 => 100755 tests/isa/generated/rv32ui-p-add mode change 100644 => 100755 tests/isa/generated/rv32ui-p-add.bin create mode 100644 tests/isa/generated/rv32ui-p-add.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-add.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-addi mode change 100644 => 100755 tests/isa/generated/rv32ui-p-addi.bin create mode 100644 tests/isa/generated/rv32ui-p-addi.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-addi.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-and mode change 100644 => 100755 tests/isa/generated/rv32ui-p-and.bin create mode 100644 tests/isa/generated/rv32ui-p-and.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-and.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-andi mode change 100644 => 100755 tests/isa/generated/rv32ui-p-andi.bin create mode 100644 tests/isa/generated/rv32ui-p-andi.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-andi.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-auipc mode change 100644 => 100755 tests/isa/generated/rv32ui-p-auipc.bin create mode 100644 tests/isa/generated/rv32ui-p-auipc.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-auipc.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-beq mode change 100644 => 100755 tests/isa/generated/rv32ui-p-beq.bin create mode 100644 tests/isa/generated/rv32ui-p-beq.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-beq.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-bge mode change 100644 => 100755 tests/isa/generated/rv32ui-p-bge.bin create mode 100644 tests/isa/generated/rv32ui-p-bge.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-bge.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-bgeu mode change 100644 => 100755 tests/isa/generated/rv32ui-p-bgeu.bin create mode 100644 tests/isa/generated/rv32ui-p-bgeu.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-bgeu.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-blt mode change 100644 => 100755 tests/isa/generated/rv32ui-p-blt.bin create mode 100644 tests/isa/generated/rv32ui-p-blt.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-blt.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-bltu mode change 100644 => 100755 tests/isa/generated/rv32ui-p-bltu.bin create mode 100644 tests/isa/generated/rv32ui-p-bltu.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-bltu.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-bne mode change 100644 => 100755 tests/isa/generated/rv32ui-p-bne.bin create mode 100644 tests/isa/generated/rv32ui-p-bne.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-bne.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-fence_i mode change 100644 => 100755 tests/isa/generated/rv32ui-p-fence_i.bin create mode 100644 tests/isa/generated/rv32ui-p-fence_i.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-fence_i.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-jal mode change 100644 => 100755 tests/isa/generated/rv32ui-p-jal.bin create mode 100644 tests/isa/generated/rv32ui-p-jal.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-jal.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-jalr mode change 100644 => 100755 tests/isa/generated/rv32ui-p-jalr.bin create mode 100644 tests/isa/generated/rv32ui-p-jalr.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-jalr.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-lb mode change 100644 => 100755 tests/isa/generated/rv32ui-p-lb.bin create mode 100644 tests/isa/generated/rv32ui-p-lb.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-lb.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-lbu mode change 100644 => 100755 tests/isa/generated/rv32ui-p-lbu.bin create mode 100644 tests/isa/generated/rv32ui-p-lbu.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-lbu.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-lh mode change 100644 => 100755 tests/isa/generated/rv32ui-p-lh.bin create mode 100644 tests/isa/generated/rv32ui-p-lh.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-lh.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-lhu mode change 100644 => 100755 tests/isa/generated/rv32ui-p-lhu.bin create mode 100644 tests/isa/generated/rv32ui-p-lhu.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-lhu.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-lui mode change 100644 => 100755 tests/isa/generated/rv32ui-p-lui.bin create mode 100644 tests/isa/generated/rv32ui-p-lui.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-lui.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-lw mode change 100644 => 100755 tests/isa/generated/rv32ui-p-lw.bin create mode 100644 tests/isa/generated/rv32ui-p-lw.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-lw.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-or mode change 100644 => 100755 tests/isa/generated/rv32ui-p-or.bin create mode 100644 tests/isa/generated/rv32ui-p-or.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-or.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-ori mode change 100644 => 100755 tests/isa/generated/rv32ui-p-ori.bin create mode 100644 tests/isa/generated/rv32ui-p-ori.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-ori.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-sb mode change 100644 => 100755 tests/isa/generated/rv32ui-p-sb.bin create mode 100644 tests/isa/generated/rv32ui-p-sb.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-sb.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-sh mode change 100644 => 100755 tests/isa/generated/rv32ui-p-sh.bin create mode 100644 tests/isa/generated/rv32ui-p-sh.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-sh.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-simple mode change 100644 => 100755 tests/isa/generated/rv32ui-p-simple.bin create mode 100644 tests/isa/generated/rv32ui-p-simple.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-simple.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-sll mode change 100644 => 100755 tests/isa/generated/rv32ui-p-sll.bin create mode 100644 tests/isa/generated/rv32ui-p-sll.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-sll.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-slli mode change 100644 => 100755 tests/isa/generated/rv32ui-p-slli.bin create mode 100644 tests/isa/generated/rv32ui-p-slli.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-slli.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-slt mode change 100644 => 100755 tests/isa/generated/rv32ui-p-slt.bin create mode 100644 tests/isa/generated/rv32ui-p-slt.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-slt.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-slti mode change 100644 => 100755 tests/isa/generated/rv32ui-p-slti.bin create mode 100644 tests/isa/generated/rv32ui-p-slti.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-slti.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-sltiu mode change 100644 => 100755 tests/isa/generated/rv32ui-p-sltiu.bin create mode 100644 tests/isa/generated/rv32ui-p-sltiu.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-sltiu.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-sltu mode change 100644 => 100755 tests/isa/generated/rv32ui-p-sltu.bin create mode 100644 tests/isa/generated/rv32ui-p-sltu.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-sltu.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-sra mode change 100644 => 100755 tests/isa/generated/rv32ui-p-sra.bin create mode 100644 tests/isa/generated/rv32ui-p-sra.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-sra.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-srai mode change 100644 => 100755 tests/isa/generated/rv32ui-p-srai.bin create mode 100644 tests/isa/generated/rv32ui-p-srai.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-srai.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-srl mode change 100644 => 100755 tests/isa/generated/rv32ui-p-srl.bin create mode 100644 tests/isa/generated/rv32ui-p-srl.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-srl.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-srli mode change 100644 => 100755 tests/isa/generated/rv32ui-p-srli.bin create mode 100644 tests/isa/generated/rv32ui-p-srli.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-srli.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-sub mode change 100644 => 100755 tests/isa/generated/rv32ui-p-sub.bin create mode 100644 tests/isa/generated/rv32ui-p-sub.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-sub.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-sw mode change 100644 => 100755 tests/isa/generated/rv32ui-p-sw.bin create mode 100644 tests/isa/generated/rv32ui-p-sw.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-sw.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-xor mode change 100644 => 100755 tests/isa/generated/rv32ui-p-xor.bin create mode 100644 tests/isa/generated/rv32ui-p-xor.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-xor.verilog mode change 100644 => 100755 tests/isa/generated/rv32ui-p-xori mode change 100644 => 100755 tests/isa/generated/rv32ui-p-xori.bin create mode 100644 tests/isa/generated/rv32ui-p-xori.mem mode change 100644 => 100755 tests/isa/generated/rv32ui-p-xori.verilog mode change 100644 => 100755 tests/isa/generated/rv32um-p-div mode change 100644 => 100755 tests/isa/generated/rv32um-p-div.bin create mode 100644 tests/isa/generated/rv32um-p-div.mem mode change 100644 => 100755 tests/isa/generated/rv32um-p-div.verilog mode change 100644 => 100755 tests/isa/generated/rv32um-p-divu mode change 100644 => 100755 tests/isa/generated/rv32um-p-divu.bin create mode 100644 tests/isa/generated/rv32um-p-divu.mem mode change 100644 => 100755 tests/isa/generated/rv32um-p-divu.verilog mode change 100644 => 100755 tests/isa/generated/rv32um-p-mul mode change 100644 => 100755 tests/isa/generated/rv32um-p-mul.bin create mode 100644 tests/isa/generated/rv32um-p-mul.mem mode change 100644 => 100755 tests/isa/generated/rv32um-p-mul.verilog mode change 100644 => 100755 tests/isa/generated/rv32um-p-mulh mode change 100644 => 100755 tests/isa/generated/rv32um-p-mulh.bin create mode 100644 tests/isa/generated/rv32um-p-mulh.mem mode change 100644 => 100755 tests/isa/generated/rv32um-p-mulh.verilog mode change 100644 => 100755 tests/isa/generated/rv32um-p-mulhsu mode change 100644 => 100755 tests/isa/generated/rv32um-p-mulhsu.bin create mode 100644 tests/isa/generated/rv32um-p-mulhsu.mem mode change 100644 => 100755 tests/isa/generated/rv32um-p-mulhsu.verilog mode change 100644 => 100755 tests/isa/generated/rv32um-p-mulhu mode change 100644 => 100755 tests/isa/generated/rv32um-p-mulhu.bin create mode 100644 tests/isa/generated/rv32um-p-mulhu.mem mode change 100644 => 100755 tests/isa/generated/rv32um-p-mulhu.verilog mode change 100644 => 100755 tests/isa/generated/rv32um-p-rem mode change 100644 => 100755 tests/isa/generated/rv32um-p-rem.bin create mode 100644 tests/isa/generated/rv32um-p-rem.mem mode change 100644 => 100755 tests/isa/generated/rv32um-p-rem.verilog mode change 100644 => 100755 tests/isa/generated/rv32um-p-remu mode change 100644 => 100755 tests/isa/generated/rv32um-p-remu.bin create mode 100644 tests/isa/generated/rv32um-p-remu.mem mode change 100644 => 100755 tests/isa/generated/rv32um-p-remu.verilog diff --git a/tests/isa/Makefile b/tests/isa/Makefile index aa809df..fea6483 100644 --- a/tests/isa/Makefile +++ b/tests/isa/Makefile @@ -15,12 +15,13 @@ default: all # Build rules #-------------------------------------------------------------------- -RISCV_PREFIX ?= ../../tools/gnu-mcu-eclipse-riscv-none-gcc-8.2.0-2.2-20190521-0004-win64/bin/riscv-none-embed- +RISCV_PREFIX ?= /opt/riscv32/bin/riscv32-unknown-elf- RISCV_GCC ?= $(RISCV_PREFIX)gcc RISCV_GCC_OPTS ?= -static -mcmodel=medany -fvisibility=hidden -nostdlib -nostartfiles RISCV_OBJDUMP ?= $(RISCV_PREFIX)objdump --disassemble-all RISCV_OBJCOPY ?= $(RISCV_PREFIX)objcopy +BIN_TO_MEM := $(src_dir)/../../tools/BinToMem.py vpath %.S $(src_dir) @@ -31,6 +32,7 @@ vpath %.S $(src_dir) $(RISCV_OBJDUMP) generated/$< > generated/$@ $(RISCV_OBJCOPY) -O verilog generated/$< generated/$<.verilog $(RISCV_OBJCOPY) -O binary generated/$< generated/$<.bin + $(BIN_TO_MEM) generated/$<.bin generated/$<.mem define compile_template diff --git a/tests/isa/README.md b/tests/isa/README.md index 79e53b2..100544c 100644 --- a/tests/isa/README.md +++ b/tests/isa/README.md @@ -1,4 +1,34 @@ -RV32I instruction source code which copy from riscv(github). -I have modified it so can run on tinyriscv. -compile: type make under the cmd windows -recompile: type make after make clean under the cmd windows +RV32I instruction source code which copy from riscv(github). +I have modified it so can run on tinyriscv. +compile: type make under the cmd windows +recompile: type make after make clean under the cmd windows + + + +编译方法: + +1.修改Makefile里GNU工具链的路径: + +``` +RISCV_PREFIX ?= /opt/riscv32/bin/riscv32-unknown-elf- +``` + +2.修改Makefile里bin文件转men文件工具的路径: + +``` +BIN_TO_MEM := $(src_dir)/../../tools/BinToMem.py +``` + +3.编译 + +``` +make +``` + +4.重新编译 + +``` +make clean +make +``` + diff --git a/tests/isa/generated/rv32ui-p-add b/tests/isa/generated/rv32ui-p-add old mode 100644 new mode 100755 index 3955017cb4727d3ad4ef0c15b5ddd7e57699a50d..d8159fdb7d0214c52860050f5253fb7e8a6fa616 GIT binary patch delta 1027 zcmZA0JuE{}6bJBg`+`&MB~fXjX&-|~YUm(g&^&`d z!qCBBAV@lNG#Cs9gTdH{!9Zd#Ncg|o-q#anxcB`2z3;xSzVAqJ^f2T(Ya>}B6e2-A zR8MtR!?#|a*+k@N(r5#}nwVvukL|ZkwIWF;GVv`E&1l`}t>K}>P--9_3z`L=H!HWR z4o-4n*IK#g^S2Rfup>c(Sn%djfglgskRpz@E^v&w6a4~n5Bjy5v(yjl)&h#4KW3gp zUu2#}|I9px{)ah=zTLhHL5uJN^9mfbofVWskhB9q2ApHwfzOz8aFO`{eq=s^%giUR z=D;9JPyw^9oW&!%Kwzi2X6tp7n7iSJimm^jpm79WY(XFV!5o2qn01)6 z%H?U~p!t7ZvRo|<`>(=#?n+>-Ux#<4gVsI{${^6$0*c4evx5U;v)8ZwQ_&KZUr;z^ z80p0Frm>pI$9m1nwuPB6_QZorsgv#bNPYpz>N>31eq-nhSf}Ga>y%-$BM@+x{Y2a5 QWT4BxgilCEu1f9k2gqbtHvj+t delta 961 zcmZA0O(+Cm7zgm@#fpO(v9)%o6$cX8Y`#)#MDh`}I2dKEEkwI^r5sq|uw2;2fl@f3 zTqL#1fg9z(jiYklpeP4Q@t+wpp1g;5{=aA5nfGIwMAb*-nlmeAC#|{3NrH+fl`KaU zC)w7l42hHsq9E?-S)yRvx2K$2v!gXFr& z-UKxxbQ#3`4&)JLFY^4Kqtp*<{1s>jIl(-Ne8)VA{KY(joNMkv&;ne?ybODpVl1)8Q)5*zt>PTf~P- vluLV8o;tPpagbwHqH>(By8KdQz~QhYY((42tfRozhd;DTUzXOZ&#LVQ)hAhq diff --git a/tests/isa/generated/rv32ui-p-add.bin b/tests/isa/generated/rv32ui-p-add.bin old mode 100644 new mode 100755 index 96010f562313c9528618c9f7e1a42a3117d2272a..fac5ac82c34d76ddf01b13e3f549d970f17e6ec5 GIT binary patch delta 37 ocmeC+?%>|=f<<&PF9U-xuK+_n0|UckAPr_uW@K%jEWpYF0Gm+|=f<;uASAbzMF9Sn90|NtuEx?dJnUS@9vH&X!0GE*mG5`Po diff --git a/tests/isa/generated/rv32ui-p-add.dump b/tests/isa/generated/rv32ui-p-add.dump index c17c150..d37fe4f 100644 --- a/tests/isa/generated/rv32ui-p-add.dump +++ b/tests/isa/generated/rv32ui-p-add.dump @@ -395,15 +395,15 @@ Disassembly of section .text.init: 4e4: 00301863 bne zero,gp,4f4 000004e8 : - 4e8: 00100d13 li s10,1 - 4ec: 00000d93 li s11,0 + 4e8: 00000d93 li s11,0 + 4ec: 00100d13 li s10,1 000004f0 : 4f0: 0000006f j 4f0 000004f4 : - 4f4: 00100d13 li s10,1 - 4f8: 00100d93 li s11,1 + 4f4: 00100d93 li s11,1 + 4f8: 00100d13 li s10,1 000004fc : 4fc: 0000006f j 4fc @@ -417,3 +417,18 @@ Disassembly of section .tohost: 00000580 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-add.mem b/tests/isa/generated/rv32ui-p-add.mem new file mode 100644 index 0000000..5a05a8f --- /dev/null +++ b/tests/isa/generated/rv32ui-p-add.mem @@ -0,0 +1,354 @@ +00000d13 +00000d93 +00000093 +00000113 +00208f33 +00000e93 +00200193 +4ddf1663 +00100093 +00100113 +00208f33 +00200e93 +00300193 +4bdf1a63 +00300093 +00700113 +00208f33 +00a00e93 +00400193 +49df1e63 +00000093 +ffff8137 +00208f33 +ffff8eb7 +00500193 +49df1263 +800000b7 +00000113 +00208f33 +80000eb7 +00600193 +47df1663 +800000b7 +ffff8137 +00208f33 +7fff8eb7 +00700193 +45df1a63 +00000093 +00008137 +fff10113 +00208f33 +00008eb7 +fffe8e93 +00800193 +43df1a63 +800000b7 +fff08093 +00000113 +00208f33 +80000eb7 +fffe8e93 +00900193 +41df1a63 +800000b7 +fff08093 +00008137 +fff10113 +00208f33 +80008eb7 +ffee8e93 +00a00193 +3fdf1863 +800000b7 +00008137 +fff10113 +00208f33 +80008eb7 +fffe8e93 +00b00193 +3ddf1863 +800000b7 +fff08093 +ffff8137 +00208f33 +7fff8eb7 +fffe8e93 +00c00193 +3bdf1863 +00000093 +fff00113 +00208f33 +fff00e93 +00d00193 +39df1c63 +fff00093 +00100113 +00208f33 +00000e93 +00e00193 +39df1063 +fff00093 +fff00113 +00208f33 +ffe00e93 +00f00193 +37df1463 +00100093 +80000137 +fff10113 +00208f33 +80000eb7 +01000193 +35df1663 +00d00093 +00b00113 +002080b3 +01800e93 +01100193 +33d09a63 +00e00093 +00b00113 +00208133 +01900e93 +01200193 +31d11e63 +00d00093 +001080b3 +01a00e93 +01300193 +31d09463 +00000213 +00d00093 +00b00113 +00208f33 +000f0313 +00120213 +00200293 +fe5214e3 +01800e93 +01400193 +2dd31e63 +00000213 +00e00093 +00b00113 +00208f33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +01900e93 +01500193 +2bd31663 +00000213 +00f00093 +00b00113 +00208f33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +01a00e93 +01600193 +27d31c63 +00000213 +00d00093 +00b00113 +00208f33 +00120213 +00200293 +fe5216e3 +01800e93 +01700193 +25df1863 +00000213 +00e00093 +00b00113 +00000013 +00208f33 +00120213 +00200293 +fe5214e3 +01900e93 +01800193 +23df1263 +00000213 +00f00093 +00b00113 +00000013 +00000013 +00208f33 +00120213 +00200293 +fe5212e3 +01a00e93 +01900193 +1fdf1a63 +00000213 +00d00093 +00000013 +00b00113 +00208f33 +00120213 +00200293 +fe5214e3 +01800e93 +01a00193 +1ddf1463 +00000213 +00e00093 +00000013 +00b00113 +00000013 +00208f33 +00120213 +00200293 +fe5212e3 +01900e93 +01b00193 +19df1c63 +00000213 +00f00093 +00000013 +00000013 +00b00113 +00208f33 +00120213 +00200293 +fe5212e3 +01a00e93 +01c00193 +17df1463 +00000213 +00b00113 +00d00093 +00208f33 +00120213 +00200293 +fe5216e3 +01800e93 +01d00193 +15df1063 +00000213 +00b00113 +00e00093 +00000013 +00208f33 +00120213 +00200293 +fe5214e3 +01900e93 +01e00193 +11df1a63 +00000213 +00b00113 +00f00093 +00000013 +00000013 +00208f33 +00120213 +00200293 +fe5212e3 +01a00e93 +01f00193 +0fdf1263 +00000213 +00b00113 +00000013 +00d00093 +00208f33 +00120213 +00200293 +fe5214e3 +01800e93 +02000193 +0bdf1c63 +00000213 +00b00113 +00000013 +00e00093 +00000013 +00208f33 +00120213 +00200293 +fe5212e3 +01900e93 +02100193 +09df1463 +00000213 +00b00113 +00000013 +00000013 +00f00093 +00208f33 +00120213 +00200293 +fe5212e3 +01a00e93 +02200193 +05df1c63 +00f00093 +00100133 +00f00e93 +02300193 +05d11263 +02000093 +00008133 +02000e93 +02400193 +03d11863 +000000b3 +00000e93 +02500193 +03d09063 +01000093 +01e00113 +00208033 +00000e93 +02600193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-add.verilog b/tests/isa/generated/rv32ui-p-add.verilog old mode 100644 new mode 100755 index 03c0528..29fd610 --- a/tests/isa/generated/rv32ui-p-add.verilog +++ b/tests/isa/generated/rv32ui-p-add.verilog @@ -1,88 +1,88 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 -33 8F 20 00 93 0E 00 00 93 01 20 00 63 16 DF 4D -93 00 10 00 13 01 10 00 33 8F 20 00 93 0E 20 00 -93 01 30 00 63 1A DF 4B 93 00 30 00 13 01 70 00 -33 8F 20 00 93 0E A0 00 93 01 40 00 63 1E DF 49 -93 00 00 00 37 81 FF FF 33 8F 20 00 B7 8E FF FF -93 01 50 00 63 12 DF 49 B7 00 00 80 13 01 00 00 -33 8F 20 00 B7 0E 00 80 93 01 60 00 63 16 DF 47 -B7 00 00 80 37 81 FF FF 33 8F 20 00 B7 8E FF 7F -93 01 70 00 63 1A DF 45 93 00 00 00 37 81 00 00 -13 01 F1 FF 33 8F 20 00 B7 8E 00 00 93 8E FE FF -93 01 80 00 63 1A DF 43 B7 00 00 80 93 80 F0 FF -13 01 00 00 33 8F 20 00 B7 0E 00 80 93 8E FE FF -93 01 90 00 63 1A DF 41 B7 00 00 80 93 80 F0 FF -37 81 00 00 13 01 F1 FF 33 8F 20 00 B7 8E 00 80 -93 8E EE FF 93 01 A0 00 63 18 DF 3F B7 00 00 80 -37 81 00 00 13 01 F1 FF 33 8F 20 00 B7 8E 00 80 -93 8E FE FF 93 01 B0 00 63 18 DF 3D B7 00 00 80 -93 80 F0 FF 37 81 FF FF 33 8F 20 00 B7 8E FF 7F -93 8E FE FF 93 01 C0 00 63 18 DF 3B 93 00 00 00 -13 01 F0 FF 33 8F 20 00 93 0E F0 FF 93 01 D0 00 -63 1C DF 39 93 00 F0 FF 13 01 10 00 33 8F 20 00 -93 0E 00 00 93 01 E0 00 63 10 DF 39 93 00 F0 FF -13 01 F0 FF 33 8F 20 00 93 0E E0 FF 93 01 F0 00 -63 14 DF 37 93 00 10 00 37 01 00 80 13 01 F1 FF -33 8F 20 00 B7 0E 00 80 93 01 00 01 63 16 DF 35 -93 00 D0 00 13 01 B0 00 B3 80 20 00 93 0E 80 01 -93 01 10 01 63 9A D0 33 93 00 E0 00 13 01 B0 00 -33 81 20 00 93 0E 90 01 93 01 20 01 63 1E D1 31 -93 00 D0 00 B3 80 10 00 93 0E A0 01 93 01 30 01 -63 94 D0 31 13 02 00 00 93 00 D0 00 13 01 B0 00 -33 8F 20 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 80 01 93 01 40 01 63 1E D3 2D -13 02 00 00 93 00 E0 00 13 01 B0 00 33 8F 20 00 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 90 01 93 01 50 01 63 16 D3 2B -13 02 00 00 93 00 F0 00 13 01 B0 00 33 8F 20 00 -13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 -93 02 20 00 E3 10 52 FE 93 0E A0 01 93 01 60 01 -63 1C D3 27 13 02 00 00 93 00 D0 00 13 01 B0 00 -33 8F 20 00 13 02 12 00 93 02 20 00 E3 16 52 FE -93 0E 80 01 93 01 70 01 63 18 DF 25 13 02 00 00 -93 00 E0 00 13 01 B0 00 13 00 00 00 33 8F 20 00 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 90 01 -93 01 80 01 63 12 DF 23 13 02 00 00 93 00 F0 00 -13 01 B0 00 13 00 00 00 13 00 00 00 33 8F 20 00 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E A0 01 -93 01 90 01 63 1A DF 1F 13 02 00 00 93 00 D0 00 -13 00 00 00 13 01 B0 00 33 8F 20 00 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 0E 80 01 93 01 A0 01 -63 14 DF 1D 13 02 00 00 93 00 E0 00 13 00 00 00 -13 01 B0 00 13 00 00 00 33 8F 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E 90 01 93 01 B0 01 -63 1C DF 19 13 02 00 00 93 00 F0 00 13 00 00 00 -13 00 00 00 13 01 B0 00 33 8F 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E A0 01 93 01 C0 01 -63 14 DF 17 13 02 00 00 13 01 B0 00 93 00 D0 00 -33 8F 20 00 13 02 12 00 93 02 20 00 E3 16 52 FE -93 0E 80 01 93 01 D0 01 63 10 DF 15 13 02 00 00 -13 01 B0 00 93 00 E0 00 13 00 00 00 33 8F 20 00 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 90 01 -93 01 E0 01 63 1A DF 11 13 02 00 00 13 01 B0 00 -93 00 F0 00 13 00 00 00 13 00 00 00 33 8F 20 00 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E A0 01 -93 01 F0 01 63 12 DF 0F 13 02 00 00 13 01 B0 00 -13 00 00 00 93 00 D0 00 33 8F 20 00 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 0E 80 01 93 01 00 02 -63 1C DF 0B 13 02 00 00 13 01 B0 00 13 00 00 00 -93 00 E0 00 13 00 00 00 33 8F 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E 90 01 93 01 10 02 -63 14 DF 09 13 02 00 00 13 01 B0 00 13 00 00 00 -13 00 00 00 93 00 F0 00 33 8F 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E A0 01 93 01 20 02 -63 1C DF 05 93 00 F0 00 33 01 10 00 93 0E F0 00 -93 01 30 02 63 12 D1 05 93 00 00 02 33 81 00 00 -93 0E 00 02 93 01 40 02 63 18 D1 03 B3 00 00 00 -93 0E 00 00 93 01 50 02 63 90 D0 03 93 00 00 01 -13 01 E0 01 33 80 20 00 93 0E 00 00 93 01 60 02 -63 14 D0 01 63 18 30 00 13 0D 10 00 93 0D 00 00 -6F 00 00 00 13 0D 10 00 93 0D 10 00 6F 00 00 00 -00 00 00 00 -@00000540 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 +33 8F 20 00 93 0E 00 00 93 01 20 00 63 16 DF 4D +93 00 10 00 13 01 10 00 33 8F 20 00 93 0E 20 00 +93 01 30 00 63 1A DF 4B 93 00 30 00 13 01 70 00 +33 8F 20 00 93 0E A0 00 93 01 40 00 63 1E DF 49 +93 00 00 00 37 81 FF FF 33 8F 20 00 B7 8E FF FF +93 01 50 00 63 12 DF 49 B7 00 00 80 13 01 00 00 +33 8F 20 00 B7 0E 00 80 93 01 60 00 63 16 DF 47 +B7 00 00 80 37 81 FF FF 33 8F 20 00 B7 8E FF 7F +93 01 70 00 63 1A DF 45 93 00 00 00 37 81 00 00 +13 01 F1 FF 33 8F 20 00 B7 8E 00 00 93 8E FE FF +93 01 80 00 63 1A DF 43 B7 00 00 80 93 80 F0 FF +13 01 00 00 33 8F 20 00 B7 0E 00 80 93 8E FE FF +93 01 90 00 63 1A DF 41 B7 00 00 80 93 80 F0 FF +37 81 00 00 13 01 F1 FF 33 8F 20 00 B7 8E 00 80 +93 8E EE FF 93 01 A0 00 63 18 DF 3F B7 00 00 80 +37 81 00 00 13 01 F1 FF 33 8F 20 00 B7 8E 00 80 +93 8E FE FF 93 01 B0 00 63 18 DF 3D B7 00 00 80 +93 80 F0 FF 37 81 FF FF 33 8F 20 00 B7 8E FF 7F +93 8E FE FF 93 01 C0 00 63 18 DF 3B 93 00 00 00 +13 01 F0 FF 33 8F 20 00 93 0E F0 FF 93 01 D0 00 +63 1C DF 39 93 00 F0 FF 13 01 10 00 33 8F 20 00 +93 0E 00 00 93 01 E0 00 63 10 DF 39 93 00 F0 FF +13 01 F0 FF 33 8F 20 00 93 0E E0 FF 93 01 F0 00 +63 14 DF 37 93 00 10 00 37 01 00 80 13 01 F1 FF +33 8F 20 00 B7 0E 00 80 93 01 00 01 63 16 DF 35 +93 00 D0 00 13 01 B0 00 B3 80 20 00 93 0E 80 01 +93 01 10 01 63 9A D0 33 93 00 E0 00 13 01 B0 00 +33 81 20 00 93 0E 90 01 93 01 20 01 63 1E D1 31 +93 00 D0 00 B3 80 10 00 93 0E A0 01 93 01 30 01 +63 94 D0 31 13 02 00 00 93 00 D0 00 13 01 B0 00 +33 8F 20 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 80 01 93 01 40 01 63 1E D3 2D +13 02 00 00 93 00 E0 00 13 01 B0 00 33 8F 20 00 +13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 90 01 93 01 50 01 63 16 D3 2B +13 02 00 00 93 00 F0 00 13 01 B0 00 33 8F 20 00 +13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 10 52 FE 93 0E A0 01 93 01 60 01 +63 1C D3 27 13 02 00 00 93 00 D0 00 13 01 B0 00 +33 8F 20 00 13 02 12 00 93 02 20 00 E3 16 52 FE +93 0E 80 01 93 01 70 01 63 18 DF 25 13 02 00 00 +93 00 E0 00 13 01 B0 00 13 00 00 00 33 8F 20 00 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 90 01 +93 01 80 01 63 12 DF 23 13 02 00 00 93 00 F0 00 +13 01 B0 00 13 00 00 00 13 00 00 00 33 8F 20 00 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E A0 01 +93 01 90 01 63 1A DF 1F 13 02 00 00 93 00 D0 00 +13 00 00 00 13 01 B0 00 33 8F 20 00 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 0E 80 01 93 01 A0 01 +63 14 DF 1D 13 02 00 00 93 00 E0 00 13 00 00 00 +13 01 B0 00 13 00 00 00 33 8F 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E 90 01 93 01 B0 01 +63 1C DF 19 13 02 00 00 93 00 F0 00 13 00 00 00 +13 00 00 00 13 01 B0 00 33 8F 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E A0 01 93 01 C0 01 +63 14 DF 17 13 02 00 00 13 01 B0 00 93 00 D0 00 +33 8F 20 00 13 02 12 00 93 02 20 00 E3 16 52 FE +93 0E 80 01 93 01 D0 01 63 10 DF 15 13 02 00 00 +13 01 B0 00 93 00 E0 00 13 00 00 00 33 8F 20 00 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 90 01 +93 01 E0 01 63 1A DF 11 13 02 00 00 13 01 B0 00 +93 00 F0 00 13 00 00 00 13 00 00 00 33 8F 20 00 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E A0 01 +93 01 F0 01 63 12 DF 0F 13 02 00 00 13 01 B0 00 +13 00 00 00 93 00 D0 00 33 8F 20 00 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 0E 80 01 93 01 00 02 +63 1C DF 0B 13 02 00 00 13 01 B0 00 13 00 00 00 +93 00 E0 00 13 00 00 00 33 8F 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E 90 01 93 01 10 02 +63 14 DF 09 13 02 00 00 13 01 B0 00 13 00 00 00 +13 00 00 00 93 00 F0 00 33 8F 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E A0 01 93 01 20 02 +63 1C DF 05 93 00 F0 00 33 01 10 00 93 0E F0 00 +93 01 30 02 63 12 D1 05 93 00 00 02 33 81 00 00 +93 0E 00 02 93 01 40 02 63 18 D1 03 B3 00 00 00 +93 0E 00 00 93 01 50 02 63 90 D0 03 93 00 00 01 +13 01 E0 01 33 80 20 00 93 0E 00 00 93 01 60 02 +63 14 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 +6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +00 00 00 00 +@00000540 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-addi b/tests/isa/generated/rv32ui-p-addi old mode 100644 new mode 100755 index 1a8bf61d77f19b5bdb92c7e534413ded1d57a55d..5f29bbe05cab1941b83c999ee849df062d39b475 GIT binary patch delta 818 zcmYk)y-NaN90%~<<4Z4;q+WFzK}m~HnQ2%-K|w=4W>bYl&D2Ra0QJG#x*#& z1VT$o)Y#xqLrVlj^cP5DEp1WX=dL?{4?NF(KR>*wjc8?939DqZDH-dJ>r+bJLkC%4wtB$9lU-&PBi$SoMD`oac^dP#6`|(&VR*0UZhxDw{s~L0s4^DDo z%2spH*Kar2VV49wB3B$%L6CwtXc2D@AV--)$V<#&5LCt=7MKs=6tmo1p7|6$XFi7;%r*FvxeoVQ zUr@s*yFy{kD!}q|+st?H0rLx7W4?#0%ujHg`5h*&9OT16O*0r?k%ey~nu8Y`_(5ED zT6T~FyI)}LlBZ#&OlCGdxsyoVy!A~BU&Od?k#xCS%x&(Kw@PQ}QGG^T4Moh?3g*mM z1D8kM7pn>VSXIZfs1~q&H_9P&7rNYiC;I=QNJOuFM1K829SJo1u|K0`4%Wy11F6JD AqyPW_ delta 761 zcmYk&Pbh<790%~a+sRD%C;tI@-hksn{sipUWX}{ zmBMjxapT5~ql4teMdaclzVAElyWd;S^L{_S_kG^y_q^9~PCoEUqKAyDm;5A98| z)HCO*mz*MLPNEe&HL_N8o#s`amXq9Bp}fYJ?ezKEjZ|aR1bRn4u$cvc`VoC5vCR;& z%sh%b!W==4F-MWp6=!J{*sByMfm~o-LcU~PMZRa=LVji5MYda~5@;XxGUwqA%Q*iL z3IVGiP!WzXpTlY95`4&f1z$7Yz#q(au$%qC2YAqQ7QgTjg+;RfzrdT!-|#W>8(d)i zf=`%#U=sC7zuBlxZ-%+aYK|x&V#8(>LRH55#)4Kjz%1(vm}z)Gna-rvGD>(nI5{@4 z)2#$mbtJwXSHdgFcv^|3mQ^L0+}K{2Q6m>m4ShmB(XLH-rnFm+*cpVt2G0Nh diff --git a/tests/isa/generated/rv32ui-p-addi.dump b/tests/isa/generated/rv32ui-p-addi.dump index 6ad58c4..ffe7026 100644 --- a/tests/isa/generated/rv32ui-p-addi.dump +++ b/tests/isa/generated/rv32ui-p-addi.dump @@ -219,15 +219,15 @@ Disassembly of section .text.init: 28c: 00301863 bne zero,gp,29c 00000290 : - 290: 00100d13 li s10,1 - 294: 00000d93 li s11,0 + 290: 00000d93 li s11,0 + 294: 00100d13 li s10,1 00000298 : 298: 0000006f j 298 0000029c : - 29c: 00100d13 li s10,1 - 2a0: 00100d93 li s11,1 + 29c: 00100d93 li s11,1 + 2a0: 00100d13 li s10,1 000002a4 : 2a4: 0000006f j 2a4 @@ -240,3 +240,18 @@ Disassembly of section .tohost: 00000340 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-addi.mem b/tests/isa/generated/rv32ui-p-addi.mem new file mode 100644 index 0000000..23014c2 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-addi.mem @@ -0,0 +1,210 @@ +00000d13 +00000d93 +00000093 +00008f13 +00000e93 +00200193 +27df1c63 +00100093 +00108f13 +00200e93 +00300193 +27df1263 +00300093 +00708f13 +00a00e93 +00400193 +25df1863 +00000093 +80008f13 +80000e93 +00500193 +23df1e63 +800000b7 +00008f13 +80000eb7 +00600193 +23df1463 +800000b7 +80008f13 +80000eb7 +800e8e93 +00700193 +21df1863 +00000093 +7ff08f13 +7ff00e93 +00800193 +1fdf1e63 +800000b7 +fff08093 +00008f13 +80000eb7 +fffe8e93 +00900193 +1fdf1063 +800000b7 +fff08093 +7ff08f13 +80000eb7 +7fee8e93 +00a00193 +1ddf1263 +800000b7 +7ff08f13 +80000eb7 +7ffe8e93 +00b00193 +1bdf1663 +800000b7 +fff08093 +80008f13 +7ffffeb7 +7ffe8e93 +00c00193 +19df1863 +00000093 +fff08f13 +fff00e93 +00d00193 +17df1e63 +fff00093 +00108f13 +00000e93 +00e00193 +17df1463 +fff00093 +fff08f13 +ffe00e93 +00f00193 +15df1a63 +800000b7 +fff08093 +00108f13 +80000eb7 +01000193 +13df1e63 +00d00093 +00b08093 +01800e93 +01100193 +13d09463 +00000213 +00d00093 +00b08f13 +000f0313 +00120213 +00200293 +fe5216e3 +01800e93 +01200193 +11d31063 +00000213 +00d00093 +00a08f13 +00000013 +000f0313 +00120213 +00200293 +fe5214e3 +01700e93 +01300193 +0dd31a63 +00000213 +00d00093 +00908f13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +01600e93 +01400193 +0bd31263 +00000213 +00d00093 +00b08f13 +00120213 +00200293 +fe5218e3 +01800e93 +01500193 +09df1063 +00000213 +00d00093 +00000013 +00a08f13 +00120213 +00200293 +fe5216e3 +01700e93 +01600193 +05df1c63 +00000213 +00d00093 +00000013 +00000013 +00908f13 +00120213 +00200293 +fe5214e3 +01600e93 +01700193 +03df1663 +02000093 +02000e93 +01800193 +01d09e63 +02100093 +03208013 +00000e93 +01900193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-addi.verilog b/tests/isa/generated/rv32ui-p-addi.verilog old mode 100644 new mode 100755 index 7597bdf..41f3d94 --- a/tests/isa/generated/rv32ui-p-addi.verilog +++ b/tests/isa/generated/rv32ui-p-addi.verilog @@ -1,52 +1,52 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 8F 00 00 -93 0E 00 00 93 01 20 00 63 1C DF 27 93 00 10 00 -13 8F 10 00 93 0E 20 00 93 01 30 00 63 12 DF 27 -93 00 30 00 13 8F 70 00 93 0E A0 00 93 01 40 00 -63 18 DF 25 93 00 00 00 13 8F 00 80 93 0E 00 80 -93 01 50 00 63 1E DF 23 B7 00 00 80 13 8F 00 00 -B7 0E 00 80 93 01 60 00 63 14 DF 23 B7 00 00 80 -13 8F 00 80 B7 0E 00 80 93 8E 0E 80 93 01 70 00 -63 18 DF 21 93 00 00 00 13 8F F0 7F 93 0E F0 7F -93 01 80 00 63 1E DF 1F B7 00 00 80 93 80 F0 FF -13 8F 00 00 B7 0E 00 80 93 8E FE FF 93 01 90 00 -63 10 DF 1F B7 00 00 80 93 80 F0 FF 13 8F F0 7F -B7 0E 00 80 93 8E EE 7F 93 01 A0 00 63 12 DF 1D -B7 00 00 80 13 8F F0 7F B7 0E 00 80 93 8E FE 7F -93 01 B0 00 63 16 DF 1B B7 00 00 80 93 80 F0 FF -13 8F 00 80 B7 FE FF 7F 93 8E FE 7F 93 01 C0 00 -63 18 DF 19 93 00 00 00 13 8F F0 FF 93 0E F0 FF -93 01 D0 00 63 1E DF 17 93 00 F0 FF 13 8F 10 00 -93 0E 00 00 93 01 E0 00 63 14 DF 17 93 00 F0 FF -13 8F F0 FF 93 0E E0 FF 93 01 F0 00 63 1A DF 15 -B7 00 00 80 93 80 F0 FF 13 8F 10 00 B7 0E 00 80 -93 01 00 01 63 1E DF 13 93 00 D0 00 93 80 B0 00 -93 0E 80 01 93 01 10 01 63 94 D0 13 13 02 00 00 -93 00 D0 00 13 8F B0 00 13 03 0F 00 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 0E 80 01 93 01 20 01 -63 10 D3 11 13 02 00 00 93 00 D0 00 13 8F A0 00 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 70 01 93 01 30 01 63 1A D3 0D -13 02 00 00 93 00 D0 00 13 8F 90 00 13 00 00 00 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 60 01 93 01 40 01 63 12 D3 0B -13 02 00 00 93 00 D0 00 13 8F B0 00 13 02 12 00 -93 02 20 00 E3 18 52 FE 93 0E 80 01 93 01 50 01 -63 10 DF 09 13 02 00 00 93 00 D0 00 13 00 00 00 -13 8F A0 00 13 02 12 00 93 02 20 00 E3 16 52 FE -93 0E 70 01 93 01 60 01 63 1C DF 05 13 02 00 00 -93 00 D0 00 13 00 00 00 13 00 00 00 13 8F 90 00 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 60 01 -93 01 70 01 63 16 DF 03 93 00 00 02 93 0E 00 02 -93 01 80 01 63 9E D0 01 93 00 10 02 13 80 20 03 -93 0E 00 00 93 01 90 01 63 14 D0 01 63 18 30 00 -13 0D 10 00 93 0D 00 00 6F 00 00 00 13 0D 10 00 -93 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000300 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 00 00 13 8F 00 00 +93 0E 00 00 93 01 20 00 63 1C DF 27 93 00 10 00 +13 8F 10 00 93 0E 20 00 93 01 30 00 63 12 DF 27 +93 00 30 00 13 8F 70 00 93 0E A0 00 93 01 40 00 +63 18 DF 25 93 00 00 00 13 8F 00 80 93 0E 00 80 +93 01 50 00 63 1E DF 23 B7 00 00 80 13 8F 00 00 +B7 0E 00 80 93 01 60 00 63 14 DF 23 B7 00 00 80 +13 8F 00 80 B7 0E 00 80 93 8E 0E 80 93 01 70 00 +63 18 DF 21 93 00 00 00 13 8F F0 7F 93 0E F0 7F +93 01 80 00 63 1E DF 1F B7 00 00 80 93 80 F0 FF +13 8F 00 00 B7 0E 00 80 93 8E FE FF 93 01 90 00 +63 10 DF 1F B7 00 00 80 93 80 F0 FF 13 8F F0 7F +B7 0E 00 80 93 8E EE 7F 93 01 A0 00 63 12 DF 1D +B7 00 00 80 13 8F F0 7F B7 0E 00 80 93 8E FE 7F +93 01 B0 00 63 16 DF 1B B7 00 00 80 93 80 F0 FF +13 8F 00 80 B7 FE FF 7F 93 8E FE 7F 93 01 C0 00 +63 18 DF 19 93 00 00 00 13 8F F0 FF 93 0E F0 FF +93 01 D0 00 63 1E DF 17 93 00 F0 FF 13 8F 10 00 +93 0E 00 00 93 01 E0 00 63 14 DF 17 93 00 F0 FF +13 8F F0 FF 93 0E E0 FF 93 01 F0 00 63 1A DF 15 +B7 00 00 80 93 80 F0 FF 13 8F 10 00 B7 0E 00 80 +93 01 00 01 63 1E DF 13 93 00 D0 00 93 80 B0 00 +93 0E 80 01 93 01 10 01 63 94 D0 13 13 02 00 00 +93 00 D0 00 13 8F B0 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 0E 80 01 93 01 20 01 +63 10 D3 11 13 02 00 00 93 00 D0 00 13 8F A0 00 +13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 70 01 93 01 30 01 63 1A D3 0D +13 02 00 00 93 00 D0 00 13 8F 90 00 13 00 00 00 +13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 60 01 93 01 40 01 63 12 D3 0B +13 02 00 00 93 00 D0 00 13 8F B0 00 13 02 12 00 +93 02 20 00 E3 18 52 FE 93 0E 80 01 93 01 50 01 +63 10 DF 09 13 02 00 00 93 00 D0 00 13 00 00 00 +13 8F A0 00 13 02 12 00 93 02 20 00 E3 16 52 FE +93 0E 70 01 93 01 60 01 63 1C DF 05 13 02 00 00 +93 00 D0 00 13 00 00 00 13 00 00 00 13 8F 90 00 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 60 01 +93 01 70 01 63 16 DF 03 93 00 00 02 93 0E 00 02 +93 01 80 01 63 9E D0 01 93 00 10 02 13 80 20 03 +93 0E 00 00 93 01 90 01 63 14 D0 01 63 18 30 00 +93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 +13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000300 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-and b/tests/isa/generated/rv32ui-p-and old mode 100644 new mode 100755 index d3c6e92dcfe0a2aac4c64308a9a8b0c2e90620b3..3cb4a059e551448b26d86dc83e99893cc7ab2c8b GIT binary patch delta 868 zcmYk*KS)AB90%~U*c}`8#-bzt8XP-Mzc_-goRGe&lzb`bd=+`AJZS z+R1(yJ@mMA2a((1q#Sy6v~K;`bK{$lqYIg0dWS?e!nVRrG?Izr$B$EkdZpJ>Qgbyc zD_JpRtJtXi*9CT%DM2rY?`=jxkQ?EMLEPPsIKdo1tTBfWpR`<}G2ph9pb5mU%u|Se zndcCXn@=fd0nRcf;VSbg{LZ`qdo8F`DGACVNSX=qi~dLEeb{DIccT(iMm@*uaII;)e?ebJ zkW*H&vCvN=Fl{%FPxcFPzz1!rTaE95_m~IZX=X~N6DwP@%ZuS0X`4lDEz)SBq+QK> zI*Y0^t!Kaw1Vsr-jG}}h)eEG dZl@EManJ}U<|P`^wb^FSBD4ez1ubp4)lz-$?9Sg0-h1EA@1ef;yc+uqo%r2(FBuggKMCrmc5+lk zk3F4kmqeP2XbZbW*6N;{lG>wHyF5A3{ERW%sqq^`a#}q>Kgd^+ z&m(70dAMnf?h@Ee?E{(y_j z4fvediQ7Ihe`CMKY{UK&vkN8(1C^7gjk;MwcR=@lNjT65TyQiS`u;eaXP$Rck5P?ok*i47&5-c*%TY9YF3+fJpgC*_DJB?DU38`Hu* pX|T|R@5eu&pSc%9U-k2LU|0`{?39Tj+ODrpj(UlP&@s!rz#o20O-TR% diff --git a/tests/isa/generated/rv32ui-p-and.bin b/tests/isa/generated/rv32ui-p-and.bin old mode 100644 new mode 100755 index b50f97c828c1abe62d3f4d1e2c6124b932092d42..232df19ea6ce9690dfdc9e946e55c44f6af64891 GIT binary patch delta 29 jcmeC+?%>{VfJJaJF9U-xuK+_n0|UckAT2!kBFlCFX37T5 delta 29 hcmeC+?%>{VfJIQ4SAbzMF9Sn90|Nt?J^3Qbb^v1v2F(Bf diff --git a/tests/isa/generated/rv32ui-p-and.dump b/tests/isa/generated/rv32ui-p-and.dump index 514a1b1..1b90b63 100644 --- a/tests/isa/generated/rv32ui-p-and.dump +++ b/tests/isa/generated/rv32ui-p-and.dump @@ -363,15 +363,15 @@ Disassembly of section .text.init: 4bc: 00301863 bne zero,gp,4cc 000004c0 : - 4c0: 00100d13 li s10,1 - 4c4: 00000d93 li s11,0 + 4c0: 00000d93 li s11,0 + 4c4: 00100d13 li s10,1 000004c8 : 4c8: 0000006f j 4c8 000004cc : - 4cc: 00100d13 li s10,1 - 4d0: 00100d93 li s11,1 + 4cc: 00100d93 li s11,1 + 4d0: 00100d13 li s10,1 000004d4 : 4d4: 0000006f j 4d4 @@ -384,3 +384,18 @@ Disassembly of section .tohost: 00000580 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-and.mem b/tests/isa/generated/rv32ui-p-and.mem new file mode 100644 index 0000000..6e7510b --- /dev/null +++ b/tests/isa/generated/rv32ui-p-and.mem @@ -0,0 +1,354 @@ +00000d13 +00000d93 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020ff33 +0f001eb7 +f00e8e93 +00200193 +49df1c63 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020ff33 +00f00eb7 +0f0e8e93 +00300193 +47df1a63 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +0020ff33 +000f0eb7 +00fe8e93 +00400193 +45df1863 +f00ff0b7 +00f08093 +f0f0f137 +0f010113 +0020ff33 +f000feb7 +00500193 +43df1863 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020f0b3 +0f001eb7 +f00e8e93 +00600193 +41d09663 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020f133 +00f00eb7 +0f0e8e93 +00700193 +3fd11463 +ff0100b7 +f0008093 +0010f0b3 +ff010eb7 +f00e8e93 +00800193 +3dd09663 +00000213 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020ff33 +000f0313 +00120213 +00200293 +fe5210e3 +0f001eb7 +f00e8e93 +00900193 +39d31a63 +00000213 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020ff33 +00000013 +000f0313 +00120213 +00200293 +fc521ee3 +00f00eb7 +0f0e8e93 +00a00193 +35d31c63 +00000213 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +0020ff33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fc521ce3 +000f0eb7 +00fe8e93 +00b00193 +31d31c63 +00000213 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020ff33 +00120213 +00200293 +fe5212e3 +0f001eb7 +f00e8e93 +00c00193 +2fdf1263 +00000213 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +00000013 +0020ff33 +00120213 +00200293 +fe5210e3 +00f00eb7 +0f0e8e93 +00d00193 +2bdf1663 +00000213 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +00000013 +00000013 +0020ff33 +00120213 +00200293 +fc521ee3 +000f0eb7 +00fe8e93 +00e00193 +27df1863 +00000213 +ff0100b7 +f0008093 +00000013 +0f0f1137 +f0f10113 +0020ff33 +00120213 +00200293 +fe5210e3 +0f001eb7 +f00e8e93 +00f00193 +23df1c63 +00000213 +0ff010b7 +ff008093 +00000013 +f0f0f137 +0f010113 +00000013 +0020ff33 +00120213 +00200293 +fc521ee3 +00f00eb7 +0f0e8e93 +01000193 +1fdf1e63 +00000213 +00ff00b7 +0ff08093 +00000013 +00000013 +0f0f1137 +f0f10113 +0020ff33 +00120213 +00200293 +fc521ee3 +000f0eb7 +00fe8e93 +01100193 +1ddf1063 +00000213 +0f0f1137 +f0f10113 +ff0100b7 +f0008093 +0020ff33 +00120213 +00200293 +fe5212e3 +0f001eb7 +f00e8e93 +01200193 +19df1663 +00000213 +f0f0f137 +0f010113 +0ff010b7 +ff008093 +00000013 +0020ff33 +00120213 +00200293 +fe5210e3 +00f00eb7 +0f0e8e93 +01300193 +15df1a63 +00000213 +0f0f1137 +f0f10113 +00ff00b7 +0ff08093 +00000013 +00000013 +0020ff33 +00120213 +00200293 +fc521ee3 +000f0eb7 +00fe8e93 +01400193 +11df1c63 +00000213 +0f0f1137 +f0f10113 +00000013 +ff0100b7 +f0008093 +0020ff33 +00120213 +00200293 +fe5210e3 +0f001eb7 +f00e8e93 +01500193 +0fdf1063 +00000213 +f0f0f137 +0f010113 +00000013 +0ff010b7 +ff008093 +00000013 +0020ff33 +00120213 +00200293 +fc521ee3 +00f00eb7 +0f0e8e93 +01600193 +0bdf1263 +00000213 +0f0f1137 +f0f10113 +00000013 +00000013 +00ff00b7 +0ff08093 +0020ff33 +00120213 +00200293 +fc521ee3 +000f0eb7 +00fe8e93 +01700193 +07df1463 +ff0100b7 +f0008093 +00107133 +00000e93 +01800193 +05d11863 +00ff00b7 +0ff08093 +0000f133 +00000e93 +01900193 +03d11c63 +000070b3 +00000e93 +01a00193 +03d09463 +111110b7 +11108093 +22222137 +22210113 +0020f033 +00000e93 +01b00193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-and.verilog b/tests/isa/generated/rv32ui-p-and.verilog old mode 100644 new mode 100755 index ac432d4..420722a --- a/tests/isa/generated/rv32ui-p-and.verilog +++ b/tests/isa/generated/rv32ui-p-and.verilog @@ -1,88 +1,88 @@ -@00000000 -13 0D 00 00 93 0D 00 00 B7 00 01 FF 93 80 00 F0 -37 11 0F 0F 13 01 F1 F0 33 FF 20 00 B7 1E 00 0F -93 8E 0E F0 93 01 20 00 63 1C DF 49 B7 10 F0 0F -93 80 00 FF 37 F1 F0 F0 13 01 01 0F 33 FF 20 00 -B7 0E F0 00 93 8E 0E 0F 93 01 30 00 63 1A DF 47 -B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 -33 FF 20 00 B7 0E 0F 00 93 8E FE 00 93 01 40 00 -63 18 DF 45 B7 F0 0F F0 93 80 F0 00 37 F1 F0 F0 -13 01 01 0F 33 FF 20 00 B7 FE 00 F0 93 01 50 00 -63 18 DF 43 B7 00 01 FF 93 80 00 F0 37 11 0F 0F -13 01 F1 F0 B3 F0 20 00 B7 1E 00 0F 93 8E 0E F0 -93 01 60 00 63 96 D0 41 B7 10 F0 0F 93 80 00 FF -37 F1 F0 F0 13 01 01 0F 33 F1 20 00 B7 0E F0 00 -93 8E 0E 0F 93 01 70 00 63 14 D1 3F B7 00 01 FF -93 80 00 F0 B3 F0 10 00 B7 0E 01 FF 93 8E 0E F0 -93 01 80 00 63 96 D0 3D 13 02 00 00 B7 00 01 FF -93 80 00 F0 37 11 0F 0F 13 01 F1 F0 33 FF 20 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE -B7 1E 00 0F 93 8E 0E F0 93 01 90 00 63 1A D3 39 -13 02 00 00 B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 -13 01 01 0F 33 FF 20 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E F0 00 -93 8E 0E 0F 93 01 A0 00 63 1C D3 35 13 02 00 00 -B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 -33 FF 20 00 13 00 00 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 1C 52 FC B7 0E 0F 00 -93 8E FE 00 93 01 B0 00 63 1C D3 31 13 02 00 00 -B7 00 01 FF 93 80 00 F0 37 11 0F 0F 13 01 F1 F0 -33 FF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 1E 00 0F 93 8E 0E F0 93 01 C0 00 63 12 DF 2F -13 02 00 00 B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 -13 01 01 0F 13 00 00 00 33 FF 20 00 13 02 12 00 -93 02 20 00 E3 10 52 FE B7 0E F0 00 93 8E 0E 0F -93 01 D0 00 63 16 DF 2B 13 02 00 00 B7 00 FF 00 -93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 13 00 00 00 -13 00 00 00 33 FF 20 00 13 02 12 00 93 02 20 00 -E3 1E 52 FC B7 0E 0F 00 93 8E FE 00 93 01 E0 00 -63 18 DF 27 13 02 00 00 B7 00 01 FF 93 80 00 F0 -13 00 00 00 37 11 0F 0F 13 01 F1 F0 33 FF 20 00 -13 02 12 00 93 02 20 00 E3 10 52 FE B7 1E 00 0F -93 8E 0E F0 93 01 F0 00 63 1C DF 23 13 02 00 00 -B7 10 F0 0F 93 80 00 FF 13 00 00 00 37 F1 F0 F0 -13 01 01 0F 13 00 00 00 33 FF 20 00 13 02 12 00 -93 02 20 00 E3 1E 52 FC B7 0E F0 00 93 8E 0E 0F -93 01 00 01 63 1E DF 1F 13 02 00 00 B7 00 FF 00 -93 80 F0 0F 13 00 00 00 13 00 00 00 37 11 0F 0F -13 01 F1 F0 33 FF 20 00 13 02 12 00 93 02 20 00 -E3 1E 52 FC B7 0E 0F 00 93 8E FE 00 93 01 10 01 -63 10 DF 1D 13 02 00 00 37 11 0F 0F 13 01 F1 F0 -B7 00 01 FF 93 80 00 F0 33 FF 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 1E 00 0F 93 8E 0E F0 -93 01 20 01 63 16 DF 19 13 02 00 00 37 F1 F0 F0 -13 01 01 0F B7 10 F0 0F 93 80 00 FF 13 00 00 00 -33 FF 20 00 13 02 12 00 93 02 20 00 E3 10 52 FE -B7 0E F0 00 93 8E 0E 0F 93 01 30 01 63 1A DF 15 -13 02 00 00 37 11 0F 0F 13 01 F1 F0 B7 00 FF 00 -93 80 F0 0F 13 00 00 00 13 00 00 00 33 FF 20 00 -13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E 0F 00 -93 8E FE 00 93 01 40 01 63 1C DF 11 13 02 00 00 -37 11 0F 0F 13 01 F1 F0 13 00 00 00 B7 00 01 FF -93 80 00 F0 33 FF 20 00 13 02 12 00 93 02 20 00 -E3 10 52 FE B7 1E 00 0F 93 8E 0E F0 93 01 50 01 -63 10 DF 0F 13 02 00 00 37 F1 F0 F0 13 01 01 0F -13 00 00 00 B7 10 F0 0F 93 80 00 FF 13 00 00 00 -33 FF 20 00 13 02 12 00 93 02 20 00 E3 1E 52 FC -B7 0E F0 00 93 8E 0E 0F 93 01 60 01 63 12 DF 0B -13 02 00 00 37 11 0F 0F 13 01 F1 F0 13 00 00 00 -13 00 00 00 B7 00 FF 00 93 80 F0 0F 33 FF 20 00 -13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E 0F 00 -93 8E FE 00 93 01 70 01 63 14 DF 07 B7 00 01 FF -93 80 00 F0 33 71 10 00 93 0E 00 00 93 01 80 01 -63 18 D1 05 B7 00 FF 00 93 80 F0 0F 33 F1 00 00 -93 0E 00 00 93 01 90 01 63 1C D1 03 B3 70 00 00 -93 0E 00 00 93 01 A0 01 63 94 D0 03 B7 10 11 11 -93 80 10 11 37 21 22 22 13 01 21 22 33 F0 20 00 -93 0E 00 00 93 01 B0 01 63 14 D0 01 63 18 30 00 -13 0D 10 00 93 0D 00 00 6F 00 00 00 13 0D 10 00 -93 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000540 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 B7 00 01 FF 93 80 00 F0 +37 11 0F 0F 13 01 F1 F0 33 FF 20 00 B7 1E 00 0F +93 8E 0E F0 93 01 20 00 63 1C DF 49 B7 10 F0 0F +93 80 00 FF 37 F1 F0 F0 13 01 01 0F 33 FF 20 00 +B7 0E F0 00 93 8E 0E 0F 93 01 30 00 63 1A DF 47 +B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 +33 FF 20 00 B7 0E 0F 00 93 8E FE 00 93 01 40 00 +63 18 DF 45 B7 F0 0F F0 93 80 F0 00 37 F1 F0 F0 +13 01 01 0F 33 FF 20 00 B7 FE 00 F0 93 01 50 00 +63 18 DF 43 B7 00 01 FF 93 80 00 F0 37 11 0F 0F +13 01 F1 F0 B3 F0 20 00 B7 1E 00 0F 93 8E 0E F0 +93 01 60 00 63 96 D0 41 B7 10 F0 0F 93 80 00 FF +37 F1 F0 F0 13 01 01 0F 33 F1 20 00 B7 0E F0 00 +93 8E 0E 0F 93 01 70 00 63 14 D1 3F B7 00 01 FF +93 80 00 F0 B3 F0 10 00 B7 0E 01 FF 93 8E 0E F0 +93 01 80 00 63 96 D0 3D 13 02 00 00 B7 00 01 FF +93 80 00 F0 37 11 0F 0F 13 01 F1 F0 33 FF 20 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE +B7 1E 00 0F 93 8E 0E F0 93 01 90 00 63 1A D3 39 +13 02 00 00 B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 +13 01 01 0F 33 FF 20 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E F0 00 +93 8E 0E 0F 93 01 A0 00 63 1C D3 35 13 02 00 00 +B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 +33 FF 20 00 13 00 00 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 1C 52 FC B7 0E 0F 00 +93 8E FE 00 93 01 B0 00 63 1C D3 31 13 02 00 00 +B7 00 01 FF 93 80 00 F0 37 11 0F 0F 13 01 F1 F0 +33 FF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 1E 00 0F 93 8E 0E F0 93 01 C0 00 63 12 DF 2F +13 02 00 00 B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 +13 01 01 0F 13 00 00 00 33 FF 20 00 13 02 12 00 +93 02 20 00 E3 10 52 FE B7 0E F0 00 93 8E 0E 0F +93 01 D0 00 63 16 DF 2B 13 02 00 00 B7 00 FF 00 +93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 13 00 00 00 +13 00 00 00 33 FF 20 00 13 02 12 00 93 02 20 00 +E3 1E 52 FC B7 0E 0F 00 93 8E FE 00 93 01 E0 00 +63 18 DF 27 13 02 00 00 B7 00 01 FF 93 80 00 F0 +13 00 00 00 37 11 0F 0F 13 01 F1 F0 33 FF 20 00 +13 02 12 00 93 02 20 00 E3 10 52 FE B7 1E 00 0F +93 8E 0E F0 93 01 F0 00 63 1C DF 23 13 02 00 00 +B7 10 F0 0F 93 80 00 FF 13 00 00 00 37 F1 F0 F0 +13 01 01 0F 13 00 00 00 33 FF 20 00 13 02 12 00 +93 02 20 00 E3 1E 52 FC B7 0E F0 00 93 8E 0E 0F +93 01 00 01 63 1E DF 1F 13 02 00 00 B7 00 FF 00 +93 80 F0 0F 13 00 00 00 13 00 00 00 37 11 0F 0F +13 01 F1 F0 33 FF 20 00 13 02 12 00 93 02 20 00 +E3 1E 52 FC B7 0E 0F 00 93 8E FE 00 93 01 10 01 +63 10 DF 1D 13 02 00 00 37 11 0F 0F 13 01 F1 F0 +B7 00 01 FF 93 80 00 F0 33 FF 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 1E 00 0F 93 8E 0E F0 +93 01 20 01 63 16 DF 19 13 02 00 00 37 F1 F0 F0 +13 01 01 0F B7 10 F0 0F 93 80 00 FF 13 00 00 00 +33 FF 20 00 13 02 12 00 93 02 20 00 E3 10 52 FE +B7 0E F0 00 93 8E 0E 0F 93 01 30 01 63 1A DF 15 +13 02 00 00 37 11 0F 0F 13 01 F1 F0 B7 00 FF 00 +93 80 F0 0F 13 00 00 00 13 00 00 00 33 FF 20 00 +13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E 0F 00 +93 8E FE 00 93 01 40 01 63 1C DF 11 13 02 00 00 +37 11 0F 0F 13 01 F1 F0 13 00 00 00 B7 00 01 FF +93 80 00 F0 33 FF 20 00 13 02 12 00 93 02 20 00 +E3 10 52 FE B7 1E 00 0F 93 8E 0E F0 93 01 50 01 +63 10 DF 0F 13 02 00 00 37 F1 F0 F0 13 01 01 0F +13 00 00 00 B7 10 F0 0F 93 80 00 FF 13 00 00 00 +33 FF 20 00 13 02 12 00 93 02 20 00 E3 1E 52 FC +B7 0E F0 00 93 8E 0E 0F 93 01 60 01 63 12 DF 0B +13 02 00 00 37 11 0F 0F 13 01 F1 F0 13 00 00 00 +13 00 00 00 B7 00 FF 00 93 80 F0 0F 33 FF 20 00 +13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E 0F 00 +93 8E FE 00 93 01 70 01 63 14 DF 07 B7 00 01 FF +93 80 00 F0 33 71 10 00 93 0E 00 00 93 01 80 01 +63 18 D1 05 B7 00 FF 00 93 80 F0 0F 33 F1 00 00 +93 0E 00 00 93 01 90 01 63 1C D1 03 B3 70 00 00 +93 0E 00 00 93 01 A0 01 63 94 D0 03 B7 10 11 11 +93 80 10 11 37 21 22 22 13 01 21 22 33 F0 20 00 +93 0E 00 00 93 01 B0 01 63 14 D0 01 63 18 30 00 +93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 +13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000540 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-andi b/tests/isa/generated/rv32ui-p-andi old mode 100644 new mode 100755 index 31c181ddfc10c754997eea4ad76585dd2072ae7b..53d8e000fa2ea63df76c144a2d16d652f6334cc4 GIT binary patch delta 639 zcmdm?^FwEXf^dQ;0~nYvC@?TGXfUudux&J+DkwUcmw`c;SAZcOC_5QQgV_@kS4%od z0!4~4i<8S382N!r)}k_FqfDa$gNcdqla~mI)Ps$K63h(DKvNNbh2i6W9w3(kF2u-C z2xOmtD`I322J%&q|lcNnHW|9nGG<$4A6khKmiAs0Fcj+oNOMEVPG0K zIZfogpdL8(^b$)-iZYW*OHzv`CTdSE5DjqE18QStU?_l?475XtfdLfyFaUEhh=!UD pmRbT-cK|5J3gn4RzAGxtnj*r$uxs*PQDq4^VES?ZtAY?PGXSD9J+A-& delta 573 zcmeyNvqNWsg0P1u0~nYvC@?TGXfUubux>P-Dkv(Lg8feUZ}Muyoy{v9O#QXro}07QT&CWg%r2AqEw%z_XCz<@smWOgvY_)HAvfy@RZ z{tY1C0me538pz;m6%$&VT2vh49ijoUAXh?70^8Ri1Pn`{APbNuI$2gsn$<#tf#LpSTQOw`abW7u L1FM1%FdqT{aQ!|Z diff --git a/tests/isa/generated/rv32ui-p-andi.bin b/tests/isa/generated/rv32ui-p-andi.bin old mode 100644 new mode 100755 index 615827fbc2d511c5a8c32d0651cfa06c4f0be7fe..7ce96c0c57e28de5a7135f1963c410c6f104ef87 GIT binary patch delta 37 ocmeBR?O@$-f>CrbF9U-xuK+_n0|UckAPr_ue#p3d@&`s10G^Nt+W-In delta 37 ocmeBR?O@$-f>BhMSAbzMF9Sn90|NtuEx?dJ`61)-$sZV50GiMU+W-In diff --git a/tests/isa/generated/rv32ui-p-andi.dump b/tests/isa/generated/rv32ui-p-andi.dump index 7d5de3a..a5446da 100644 --- a/tests/isa/generated/rv32ui-p-andi.dump +++ b/tests/isa/generated/rv32ui-p-andi.dump @@ -147,15 +147,15 @@ Disassembly of section .text.init: 1c4: 00301863 bne zero,gp,1d4 000001c8 : - 1c8: 00100d13 li s10,1 - 1cc: 00000d93 li s11,0 + 1c8: 00000d93 li s11,0 + 1cc: 00100d13 li s10,1 000001d0 : 1d0: 0000006f j 1d0 000001d4 : - 1d4: 00100d13 li s10,1 - 1d8: 00100d93 li s11,1 + 1d4: 00100d93 li s11,1 + 1d8: 00100d13 li s10,1 000001dc : 1dc: 0000006f j 1dc @@ -168,3 +168,18 @@ Disassembly of section .tohost: 00000280 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-andi.mem b/tests/isa/generated/rv32ui-p-andi.mem new file mode 100644 index 0000000..26f6d58 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-andi.mem @@ -0,0 +1,162 @@ +00000d13 +00000d93 +ff0100b7 +f0008093 +f0f0ff13 +ff010eb7 +f00e8e93 +00200193 +1bdf1463 +0ff010b7 +ff008093 +0f00ff13 +0f000e93 +00300193 +19df1863 +00ff00b7 +0ff08093 +70f0ff13 +00f00e93 +00400193 +17df1c63 +f00ff0b7 +00f08093 +0f00ff13 +00000e93 +00500193 +17df1063 +ff0100b7 +f0008093 +0f00f093 +00000e93 +00600193 +15d09463 +00000213 +0ff010b7 +ff008093 +70f0ff13 +000f0313 +00120213 +00200293 +fe5214e3 +70000e93 +00700193 +11d31e63 +00000213 +00ff00b7 +0ff08093 +0f00ff13 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +0f000e93 +00800193 +0fd31663 +00000213 +f00ff0b7 +00f08093 +f0f0ff13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +f00ffeb7 +00fe8e93 +00900193 +0bd31a63 +00000213 +0ff010b7 +ff008093 +70f0ff13 +00120213 +00200293 +fe5216e3 +70000e93 +00a00193 +09df1663 +00000213 +00ff00b7 +0ff08093 +00000013 +0f00ff13 +00120213 +00200293 +fe5214e3 +0f000e93 +00b00193 +07df1063 +00000213 +f00ff0b7 +00f08093 +00000013 +00000013 +70f0ff13 +00120213 +00200293 +fe5212e3 +00f00e93 +00c00193 +03df1863 +0f007093 +00000e93 +00d00193 +03d09063 +00ff00b7 +0ff08093 +70f0f013 +00000e93 +00e00193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-andi.verilog b/tests/isa/generated/rv32ui-p-andi.verilog old mode 100644 new mode 100755 index 6da253e..d3f5837 --- a/tests/isa/generated/rv32ui-p-andi.verilog +++ b/tests/isa/generated/rv32ui-p-andi.verilog @@ -1,40 +1,40 @@ -@00000000 -13 0D 00 00 93 0D 00 00 B7 00 01 FF 93 80 00 F0 -13 FF F0 F0 B7 0E 01 FF 93 8E 0E F0 93 01 20 00 -63 14 DF 1B B7 10 F0 0F 93 80 00 FF 13 FF 00 0F -93 0E 00 0F 93 01 30 00 63 18 DF 19 B7 00 FF 00 -93 80 F0 0F 13 FF F0 70 93 0E F0 00 93 01 40 00 -63 1C DF 17 B7 F0 0F F0 93 80 F0 00 13 FF 00 0F -93 0E 00 00 93 01 50 00 63 10 DF 17 B7 00 01 FF -93 80 00 F0 93 F0 00 0F 93 0E 00 00 93 01 60 00 -63 94 D0 15 13 02 00 00 B7 10 F0 0F 93 80 00 FF -13 FF F0 70 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 00 70 93 01 70 00 63 1E D3 11 -13 02 00 00 B7 00 FF 00 93 80 F0 0F 13 FF 00 0F -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 00 0F 93 01 80 00 63 16 D3 0F -13 02 00 00 B7 F0 0F F0 93 80 F0 00 13 FF F0 F0 -13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 -93 02 20 00 E3 10 52 FE B7 FE 0F F0 93 8E FE 00 -93 01 90 00 63 1A D3 0B 13 02 00 00 B7 10 F0 0F -93 80 00 FF 13 FF F0 70 13 02 12 00 93 02 20 00 -E3 16 52 FE 93 0E 00 70 93 01 A0 00 63 16 DF 09 -13 02 00 00 B7 00 FF 00 93 80 F0 0F 13 00 00 00 -13 FF 00 0F 13 02 12 00 93 02 20 00 E3 14 52 FE -93 0E 00 0F 93 01 B0 00 63 10 DF 07 13 02 00 00 -B7 F0 0F F0 93 80 F0 00 13 00 00 00 13 00 00 00 -13 FF F0 70 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E F0 00 93 01 C0 00 63 18 DF 03 93 70 00 0F -93 0E 00 00 93 01 D0 00 63 90 D0 03 B7 00 FF 00 -93 80 F0 0F 13 F0 F0 70 93 0E 00 00 93 01 E0 00 -63 14 D0 01 63 18 30 00 13 0D 10 00 93 0D 00 00 -6F 00 00 00 13 0D 10 00 93 0D 10 00 6F 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000240 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 B7 00 01 FF 93 80 00 F0 +13 FF F0 F0 B7 0E 01 FF 93 8E 0E F0 93 01 20 00 +63 14 DF 1B B7 10 F0 0F 93 80 00 FF 13 FF 00 0F +93 0E 00 0F 93 01 30 00 63 18 DF 19 B7 00 FF 00 +93 80 F0 0F 13 FF F0 70 93 0E F0 00 93 01 40 00 +63 1C DF 17 B7 F0 0F F0 93 80 F0 00 13 FF 00 0F +93 0E 00 00 93 01 50 00 63 10 DF 17 B7 00 01 FF +93 80 00 F0 93 F0 00 0F 93 0E 00 00 93 01 60 00 +63 94 D0 15 13 02 00 00 B7 10 F0 0F 93 80 00 FF +13 FF F0 70 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 00 70 93 01 70 00 63 1E D3 11 +13 02 00 00 B7 00 FF 00 93 80 F0 0F 13 FF 00 0F +13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 00 0F 93 01 80 00 63 16 D3 0F +13 02 00 00 B7 F0 0F F0 93 80 F0 00 13 FF F0 F0 +13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 10 52 FE B7 FE 0F F0 93 8E FE 00 +93 01 90 00 63 1A D3 0B 13 02 00 00 B7 10 F0 0F +93 80 00 FF 13 FF F0 70 13 02 12 00 93 02 20 00 +E3 16 52 FE 93 0E 00 70 93 01 A0 00 63 16 DF 09 +13 02 00 00 B7 00 FF 00 93 80 F0 0F 13 00 00 00 +13 FF 00 0F 13 02 12 00 93 02 20 00 E3 14 52 FE +93 0E 00 0F 93 01 B0 00 63 10 DF 07 13 02 00 00 +B7 F0 0F F0 93 80 F0 00 13 00 00 00 13 00 00 00 +13 FF F0 70 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E F0 00 93 01 C0 00 63 18 DF 03 93 70 00 0F +93 0E 00 00 93 01 D0 00 63 90 D0 03 B7 00 FF 00 +93 80 F0 0F 13 F0 F0 70 93 0E 00 00 93 01 E0 00 +63 14 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 +6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000240 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-auipc b/tests/isa/generated/rv32ui-p-auipc old mode 100644 new mode 100755 index ecdc68f38603ac3b92ef2024b7f28f166ea40e38..f0a489710621bdfc7a718fb9f0e73c4e686c0d83 GIT binary patch delta 457 zcmeyOwncq{g76$61~4#TP+(wW&|qL^VB2UcDIhwTmw`c;SAZcOC_5QQgV_@k(cPfA31$Xnps5JJ!tn7w50J|N7h+_P z2eN&T_`*Q81`=Nr$c{kbO99y-NPHC_I|0G3XV3$21Rx>;3``6*XncfaAZ3gU!9e*F z5amEV6WFo?Nc=<~y8(&Mker<5=$I28u9weHl3H96Zv>%?8FKRT3np_5RS4>V14u8i zq@*Y_sT8PaVxs2cH9`TRAh$6yFcd(IV>khHr_|&`VPRQNFfqY|f%Frgi~vxO70BkE bEGaC_`bCg|Ap@j#vX8I;YYZ^r96&q(o5(p2 delta 383 zcmdm@{zYwqf^drv0~nYvC@?TGXfUubux>P#6c82W6=0am%fOHil!dSb81g43Hct{1 zsb_>}0h25YAO9NySsZXNMg|uk+Xsnn0c2|+@%@182qeBekR5`=_XM&NkodtMwg5yx zfPtx=ArV!8p%5YmCIx`rr~tA~K*fL>7;1s+14#TfAiDvH&){qo6Iz^FR2<{u>FDR~ zU1u2MSWw`SSdtjylb@WJ6BCk}TM(0+oE`4t 0000004c : - 4c: 00100d13 li s10,1 - 50: 00000d93 li s11,0 + 4c: 00000d93 li s11,0 + 50: 00100d13 li s10,1 00000054 : 54: 0000006f j 54 00000058 : - 58: 00100d13 li s10,1 - 5c: 00100d93 li s11,1 + 58: 00100d93 li s11,1 + 5c: 00100d13 li s10,1 00000060 : 60: 0000006f j 60 @@ -51,3 +51,18 @@ Disassembly of section .tohost: 000000c0 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-auipc.mem b/tests/isa/generated/rv32ui-p-auipc.mem new file mode 100644 index 0000000..c6a5624 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-auipc.mem @@ -0,0 +1,50 @@ +00000d13 +00000d93 +00002517 +71c50513 +004005ef +40b50533 +00002eb7 +710e8e93 +00200193 +03d51463 +ffffe517 +8fc50513 +004005ef +40b50533 +ffffeeb7 +8f0e8e93 +00300193 +01d51463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-auipc.verilog b/tests/isa/generated/rv32ui-p-auipc.verilog old mode 100644 new mode 100755 index 240076c..4998fd5 --- a/tests/isa/generated/rv32ui-p-auipc.verilog +++ b/tests/isa/generated/rv32ui-p-auipc.verilog @@ -1,15 +1,15 @@ -@00000000 -13 0D 00 00 93 0D 00 00 17 25 00 00 13 05 C5 71 -EF 05 40 00 33 05 B5 40 B7 2E 00 00 93 8E 0E 71 -93 01 20 00 63 14 D5 03 17 E5 FF FF 13 05 C5 8F -EF 05 40 00 33 05 B5 40 B7 EE FF FF 93 8E 0E 8F -93 01 30 00 63 14 D5 01 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 -@00000080 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 17 25 00 00 13 05 C5 71 +EF 05 40 00 33 05 B5 40 B7 2E 00 00 93 8E 0E 71 +93 01 20 00 63 14 D5 03 17 E5 FF FF 13 05 C5 8F +EF 05 40 00 33 05 B5 40 B7 EE FF FF 93 8E 0E 8F +93 01 30 00 63 14 D5 01 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 +@00000080 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-beq b/tests/isa/generated/rv32ui-p-beq old mode 100644 new mode 100755 index 24d5466701887d3f1670aed23c31e8614b1298b2..edda2e00455c7c992ba3df8c5317e6c8b1b96dad GIT binary patch delta 758 zcmYk)KS%;m90%~<^G_KhS(lwgkw$|MoTAYd6gUVKG(-@zTpA<@K^oj)K8Amp&C}1Z8#sqE%olKh`5L}rZon_L zbzE5;g=f0}-@~uWO_&1aU_M;b>ITPcD|Lqe=D#7Hxox}X1M!6U6JG8a-wJlhW^-HX z>DBEm%b)@2xJ_g9VBIF}R0qUa8 z#^_<6M{Tzm}9+2+@yTE7+F<=whOd!WO zPb23zCz1C#r;uxHmuMNdY!@hv+~mB9{Ka__+3R%8s0hb6@4-3F2XKXR6+U;2^B<$o zbP9}4;2+MX@DTR}8gQKR1*~ztfsZ&Fhk53F4?o&2;S)Zg@M0I>7EGcs8H+&gR&e}n zCTkM$yntrQ9kvDPhIcuO@FZuN8dsJI*{y<-nDs~JXXbXoN>tNg>b9yR@)>nqNo6-Q zC6g&eR+0;AXDyG+s_9`pBroc9SqzpXA|Lv7VM{NDu^R36V*GD|L{#?>$xMHf{exHd NHG^i+n$VGX{Q)biNR|Kq diff --git a/tests/isa/generated/rv32ui-p-beq.bin b/tests/isa/generated/rv32ui-p-beq.bin old mode 100644 new mode 100755 index 68b5c5157a85a3286c2df9a6ae11cddff44df297..5c86f36e82c1061376054755ff7197ccd475fa6c GIT binary patch delta 37 ocmeBR?_l3>f=P5TF9U-xuK+_n0|UckAPr_ue#o?Z@&_gs0G~q$;s5{u delta 37 ocmeBR?_l3>f=N`ESAbzMF9Sn90|NtuEx?dJ`61Kt$sd?l0Gopd;s5{u diff --git a/tests/isa/generated/rv32ui-p-beq.dump b/tests/isa/generated/rv32ui-p-beq.dump index 9a5b7cc..1b83827 100644 --- a/tests/isa/generated/rv32ui-p-beq.dump +++ b/tests/isa/generated/rv32ui-p-beq.dump @@ -225,15 +225,15 @@ Disassembly of section .text.init: 2c4: 00301863 bne zero,gp,2d4 000002c8 : - 2c8: 00100d13 li s10,1 - 2cc: 00000d93 li s11,0 + 2c8: 00000d93 li s11,0 + 2cc: 00100d13 li s10,1 000002d0 : 2d0: 0000006f j 2d0 000002d4 : - 2d4: 00100d13 li s10,1 - 2d8: 00100d93 li s11,1 + 2d4: 00100d93 li s11,1 + 2d8: 00100d13 li s10,1 000002dc : 2dc: 0000006f j 2dc @@ -246,3 +246,18 @@ Disassembly of section .tohost: 00000380 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-beq.mem b/tests/isa/generated/rv32ui-p-beq.mem new file mode 100644 index 0000000..cacafe1 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-beq.mem @@ -0,0 +1,226 @@ +00000d13 +00000d93 +00200193 +00000093 +00000113 +00208663 +2a301863 +00301663 +fe208ee3 +2a301263 +00300193 +00100093 +00100113 +00208663 +28301863 +00301663 +fe208ee3 +28301263 +00400193 +fff00093 +fff00113 +00208663 +26301863 +00301663 +fe208ee3 +26301263 +00500193 +00000093 +00100113 +00208463 +00301463 +24301663 +fe208ee3 +00600193 +00100093 +00000113 +00208463 +00301463 +22301863 +fe208ee3 +00700193 +fff00093 +00100113 +00208463 +00301463 +20301a63 +fe208ee3 +00800193 +00100093 +fff00113 +00208463 +00301463 +1e301c63 +fe208ee3 +00900193 +00000213 +00000093 +fff00113 +1e208063 +00120213 +00200293 +fe5216e3 +00a00193 +00000213 +00000093 +fff00113 +00000013 +1a208e63 +00120213 +00200293 +fe5214e3 +00b00193 +00000213 +00000093 +fff00113 +00000013 +00000013 +18208a63 +00120213 +00200293 +fe5212e3 +00c00193 +00000213 +00000093 +00000013 +fff00113 +16208863 +00120213 +00200293 +fe5214e3 +00d00193 +00000213 +00000093 +00000013 +fff00113 +00000013 +14208463 +00120213 +00200293 +fe5212e3 +00e00193 +00000213 +00000093 +00000013 +00000013 +fff00113 +12208063 +00120213 +00200293 +fe5212e3 +00f00193 +00000213 +00000093 +fff00113 +10208063 +00120213 +00200293 +fe5216e3 +01000193 +00000213 +00000093 +fff00113 +00000013 +0c208e63 +00120213 +00200293 +fe5214e3 +01100193 +00000213 +00000093 +fff00113 +00000013 +00000013 +0a208a63 +00120213 +00200293 +fe5212e3 +01200193 +00000213 +00000093 +00000013 +fff00113 +08208863 +00120213 +00200293 +fe5214e3 +01300193 +00000213 +00000093 +00000013 +fff00113 +00000013 +06208463 +00120213 +00200293 +fe5212e3 +01400193 +00000213 +00000093 +00000013 +00000013 +fff00113 +04208063 +00120213 +00200293 +fe5212e3 +00100093 +00000a63 +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +01500193 +01d09463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-beq.verilog b/tests/isa/generated/rv32ui-p-beq.verilog old mode 100644 new mode 100755 index dd5de24..89ab0c1 --- a/tests/isa/generated/rv32ui-p-beq.verilog +++ b/tests/isa/generated/rv32ui-p-beq.verilog @@ -1,56 +1,56 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 -13 01 00 00 63 86 20 00 63 18 30 2A 63 16 30 00 -E3 8E 20 FE 63 12 30 2A 93 01 30 00 93 00 10 00 -13 01 10 00 63 86 20 00 63 18 30 28 63 16 30 00 -E3 8E 20 FE 63 12 30 28 93 01 40 00 93 00 F0 FF -13 01 F0 FF 63 86 20 00 63 18 30 26 63 16 30 00 -E3 8E 20 FE 63 12 30 26 93 01 50 00 93 00 00 00 -13 01 10 00 63 84 20 00 63 14 30 00 63 16 30 24 -E3 8E 20 FE 93 01 60 00 93 00 10 00 13 01 00 00 -63 84 20 00 63 14 30 00 63 18 30 22 E3 8E 20 FE -93 01 70 00 93 00 F0 FF 13 01 10 00 63 84 20 00 -63 14 30 00 63 1A 30 20 E3 8E 20 FE 93 01 80 00 -93 00 10 00 13 01 F0 FF 63 84 20 00 63 14 30 00 -63 1C 30 1E E3 8E 20 FE 93 01 90 00 13 02 00 00 -93 00 00 00 13 01 F0 FF 63 80 20 1E 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 01 A0 00 13 02 00 00 -93 00 00 00 13 01 F0 FF 13 00 00 00 63 8E 20 1A -13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 B0 00 -13 02 00 00 93 00 00 00 13 01 F0 FF 13 00 00 00 -13 00 00 00 63 8A 20 18 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 C0 00 13 02 00 00 93 00 00 00 -13 00 00 00 13 01 F0 FF 63 88 20 16 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 01 D0 00 13 02 00 00 -93 00 00 00 13 00 00 00 13 01 F0 FF 13 00 00 00 -63 84 20 14 13 02 12 00 93 02 20 00 E3 12 52 FE -93 01 E0 00 13 02 00 00 93 00 00 00 13 00 00 00 -13 00 00 00 13 01 F0 FF 63 80 20 12 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 F0 00 13 02 00 00 -93 00 00 00 13 01 F0 FF 63 80 20 10 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 01 00 01 13 02 00 00 -93 00 00 00 13 01 F0 FF 13 00 00 00 63 8E 20 0C -13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 10 01 -13 02 00 00 93 00 00 00 13 01 F0 FF 13 00 00 00 -13 00 00 00 63 8A 20 0A 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 20 01 13 02 00 00 93 00 00 00 -13 00 00 00 13 01 F0 FF 63 88 20 08 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 01 30 01 13 02 00 00 -93 00 00 00 13 00 00 00 13 01 F0 FF 13 00 00 00 -63 84 20 06 13 02 12 00 93 02 20 00 E3 12 52 FE -93 01 40 01 13 02 00 00 93 00 00 00 13 00 00 00 -13 00 00 00 13 01 F0 FF 63 80 20 04 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 00 10 00 63 0A 00 00 -93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00 -93 80 10 00 93 80 10 00 93 0E 30 00 93 01 50 01 -63 94 D0 01 63 18 30 00 13 0D 10 00 93 0D 00 00 -6F 00 00 00 13 0D 10 00 93 0D 10 00 6F 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000340 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 +13 01 00 00 63 86 20 00 63 18 30 2A 63 16 30 00 +E3 8E 20 FE 63 12 30 2A 93 01 30 00 93 00 10 00 +13 01 10 00 63 86 20 00 63 18 30 28 63 16 30 00 +E3 8E 20 FE 63 12 30 28 93 01 40 00 93 00 F0 FF +13 01 F0 FF 63 86 20 00 63 18 30 26 63 16 30 00 +E3 8E 20 FE 63 12 30 26 93 01 50 00 93 00 00 00 +13 01 10 00 63 84 20 00 63 14 30 00 63 16 30 24 +E3 8E 20 FE 93 01 60 00 93 00 10 00 13 01 00 00 +63 84 20 00 63 14 30 00 63 18 30 22 E3 8E 20 FE +93 01 70 00 93 00 F0 FF 13 01 10 00 63 84 20 00 +63 14 30 00 63 1A 30 20 E3 8E 20 FE 93 01 80 00 +93 00 10 00 13 01 F0 FF 63 84 20 00 63 14 30 00 +63 1C 30 1E E3 8E 20 FE 93 01 90 00 13 02 00 00 +93 00 00 00 13 01 F0 FF 63 80 20 1E 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 01 A0 00 13 02 00 00 +93 00 00 00 13 01 F0 FF 13 00 00 00 63 8E 20 1A +13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 B0 00 +13 02 00 00 93 00 00 00 13 01 F0 FF 13 00 00 00 +13 00 00 00 63 8A 20 18 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 C0 00 13 02 00 00 93 00 00 00 +13 00 00 00 13 01 F0 FF 63 88 20 16 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 01 D0 00 13 02 00 00 +93 00 00 00 13 00 00 00 13 01 F0 FF 13 00 00 00 +63 84 20 14 13 02 12 00 93 02 20 00 E3 12 52 FE +93 01 E0 00 13 02 00 00 93 00 00 00 13 00 00 00 +13 00 00 00 13 01 F0 FF 63 80 20 12 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 01 F0 00 13 02 00 00 +93 00 00 00 13 01 F0 FF 63 80 20 10 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 01 00 01 13 02 00 00 +93 00 00 00 13 01 F0 FF 13 00 00 00 63 8E 20 0C +13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 10 01 +13 02 00 00 93 00 00 00 13 01 F0 FF 13 00 00 00 +13 00 00 00 63 8A 20 0A 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 20 01 13 02 00 00 93 00 00 00 +13 00 00 00 13 01 F0 FF 63 88 20 08 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 01 30 01 13 02 00 00 +93 00 00 00 13 00 00 00 13 01 F0 FF 13 00 00 00 +63 84 20 06 13 02 12 00 93 02 20 00 E3 12 52 FE +93 01 40 01 13 02 00 00 93 00 00 00 13 00 00 00 +13 00 00 00 13 01 F0 FF 63 80 20 04 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 00 10 00 63 0A 00 00 +93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00 +93 80 10 00 93 80 10 00 93 0E 30 00 93 01 50 01 +63 94 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 +6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000340 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-bge b/tests/isa/generated/rv32ui-p-bge old mode 100644 new mode 100755 index 15b96e5fcc4e906ded13376eb58c1e34c8a6e18d..59c2833fb7444bbb3105b67e20c2e2c3faccecd7 GIT binary patch delta 801 zcmYk*ze@sP7zgm@^|B(8EXyAiWV8s8o<9hOgaV6(kcNf^hs=V6Akj-2glljJYEZ7R zp~1nSC2DM_C4?6Lf+mNis6mL9`rhfC&pW*D_w#t~`}!X5eaMY))$gi$$Y?S0lb`?% z(cpcwGclz(h+GaQo#0muqmgTwOW&Fgl|rtVEs+>Qv=>UzNG_6>YneH%?V41L<$9e& z5?1ZE0s8(M1&6JYpcmwTWEKRubeqKNY2;1jdE_Hz{n?AYRSE%(eu0*eo6HI1Hgi(X z*1r_A1z;Wg@yvuw5mo2M;j!~#v1wjhjWG=&B%oW&cLuWKWR~X~W*KnHo2CgvI z;f84yN4Z0xWftH^_=ouoj!s*YMDVq()qh~4kVZ$8~<3Zzo1v83L$nBphhs9cEQM>hQuLrRXrsca^VGmpu s{eGCue5v|qofo-{=k`#rBl|UncgCJ{6OCv~-UV+8U%)ErW;56L2kkaU3;+NC delta 734 zcmYk)JxD@P7zW_)q(+M%X?p1gg%%-5B_W9*q#ygykT43m%T3r8?H= z?Xz2LRJIaj@wSz1lr3pD7Y;{Jw3akyGyVJpka~%6p!sf+6x~s)AWS8T1e*$YIGsI99cRHsNr00M%&fY zXf~y7tBG_br>0V~`Ng&I=v~a|6Q$%x`Q8;Dl3N>BL$2A0;wa3>?s7~SuqaLejUIvDI1_lNQTYw>dvLkc* 00000328 : - 328: 00100d13 li s10,1 - 32c: 00000d93 li s11,0 + 328: 00000d93 li s11,0 + 32c: 00100d13 li s10,1 00000330 : 330: 0000006f j 330 00000334 : - 334: 00100d13 li s10,1 - 338: 00100d93 li s11,1 + 334: 00100d93 li s11,1 + 338: 00100d13 li s10,1 0000033c : 33c: 0000006f j 33c @@ -277,3 +277,18 @@ Disassembly of section .tohost: 000003c0 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-bge.mem b/tests/isa/generated/rv32ui-p-bge.mem new file mode 100644 index 0000000..fde8768 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-bge.mem @@ -0,0 +1,242 @@ +00000d13 +00000d93 +00200193 +00000093 +00000113 +0020d663 +30301863 +00301663 +fe20dee3 +30301263 +00300193 +00100093 +00100113 +0020d663 +2e301863 +00301663 +fe20dee3 +2e301263 +00400193 +fff00093 +fff00113 +0020d663 +2c301863 +00301663 +fe20dee3 +2c301263 +00500193 +00100093 +00000113 +0020d663 +2a301863 +00301663 +fe20dee3 +2a301263 +00600193 +00100093 +fff00113 +0020d663 +28301863 +00301663 +fe20dee3 +28301263 +00700193 +fff00093 +ffe00113 +0020d663 +26301863 +00301663 +fe20dee3 +26301263 +00800193 +00000093 +00100113 +0020d463 +00301463 +24301663 +fe20dee3 +00900193 +fff00093 +00100113 +0020d463 +00301463 +22301863 +fe20dee3 +00a00193 +ffe00093 +fff00113 +0020d463 +00301463 +20301a63 +fe20dee3 +00b00193 +ffe00093 +00100113 +0020d463 +00301463 +1e301c63 +fe20dee3 +00c00193 +00000213 +fff00093 +00000113 +1e20d063 +00120213 +00200293 +fe5216e3 +00d00193 +00000213 +fff00093 +00000113 +00000013 +1a20de63 +00120213 +00200293 +fe5214e3 +00e00193 +00000213 +fff00093 +00000113 +00000013 +00000013 +1820da63 +00120213 +00200293 +fe5212e3 +00f00193 +00000213 +fff00093 +00000013 +00000113 +1620d863 +00120213 +00200293 +fe5214e3 +01000193 +00000213 +fff00093 +00000013 +00000113 +00000013 +1420d463 +00120213 +00200293 +fe5212e3 +01100193 +00000213 +fff00093 +00000013 +00000013 +00000113 +1220d063 +00120213 +00200293 +fe5212e3 +01200193 +00000213 +fff00093 +00000113 +1020d063 +00120213 +00200293 +fe5216e3 +01300193 +00000213 +fff00093 +00000113 +00000013 +0c20de63 +00120213 +00200293 +fe5214e3 +01400193 +00000213 +fff00093 +00000113 +00000013 +00000013 +0a20da63 +00120213 +00200293 +fe5212e3 +01500193 +00000213 +fff00093 +00000013 +00000113 +0820d863 +00120213 +00200293 +fe5214e3 +01600193 +00000213 +fff00093 +00000013 +00000113 +00000013 +0620d463 +00120213 +00200293 +fe5212e3 +01700193 +00000213 +fff00093 +00000013 +00000013 +00000113 +0420d063 +00120213 +00200293 +fe5212e3 +00100093 +0000da63 +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +01800193 +01d09463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-bge.verilog b/tests/isa/generated/rv32ui-p-bge.verilog old mode 100644 new mode 100755 index 2df6e9b..ef2b83e --- a/tests/isa/generated/rv32ui-p-bge.verilog +++ b/tests/isa/generated/rv32ui-p-bge.verilog @@ -1,60 +1,60 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 -13 01 00 00 63 D6 20 00 63 18 30 30 63 16 30 00 -E3 DE 20 FE 63 12 30 30 93 01 30 00 93 00 10 00 -13 01 10 00 63 D6 20 00 63 18 30 2E 63 16 30 00 -E3 DE 20 FE 63 12 30 2E 93 01 40 00 93 00 F0 FF -13 01 F0 FF 63 D6 20 00 63 18 30 2C 63 16 30 00 -E3 DE 20 FE 63 12 30 2C 93 01 50 00 93 00 10 00 -13 01 00 00 63 D6 20 00 63 18 30 2A 63 16 30 00 -E3 DE 20 FE 63 12 30 2A 93 01 60 00 93 00 10 00 -13 01 F0 FF 63 D6 20 00 63 18 30 28 63 16 30 00 -E3 DE 20 FE 63 12 30 28 93 01 70 00 93 00 F0 FF -13 01 E0 FF 63 D6 20 00 63 18 30 26 63 16 30 00 -E3 DE 20 FE 63 12 30 26 93 01 80 00 93 00 00 00 -13 01 10 00 63 D4 20 00 63 14 30 00 63 16 30 24 -E3 DE 20 FE 93 01 90 00 93 00 F0 FF 13 01 10 00 -63 D4 20 00 63 14 30 00 63 18 30 22 E3 DE 20 FE -93 01 A0 00 93 00 E0 FF 13 01 F0 FF 63 D4 20 00 -63 14 30 00 63 1A 30 20 E3 DE 20 FE 93 01 B0 00 -93 00 E0 FF 13 01 10 00 63 D4 20 00 63 14 30 00 -63 1C 30 1E E3 DE 20 FE 93 01 C0 00 13 02 00 00 -93 00 F0 FF 13 01 00 00 63 D0 20 1E 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 01 D0 00 13 02 00 00 -93 00 F0 FF 13 01 00 00 13 00 00 00 63 DE 20 1A -13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 E0 00 -13 02 00 00 93 00 F0 FF 13 01 00 00 13 00 00 00 -13 00 00 00 63 DA 20 18 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 F0 00 13 02 00 00 93 00 F0 FF -13 00 00 00 13 01 00 00 63 D8 20 16 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 01 00 01 13 02 00 00 -93 00 F0 FF 13 00 00 00 13 01 00 00 13 00 00 00 -63 D4 20 14 13 02 12 00 93 02 20 00 E3 12 52 FE -93 01 10 01 13 02 00 00 93 00 F0 FF 13 00 00 00 -13 00 00 00 13 01 00 00 63 D0 20 12 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 20 01 13 02 00 00 -93 00 F0 FF 13 01 00 00 63 D0 20 10 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 01 30 01 13 02 00 00 -93 00 F0 FF 13 01 00 00 13 00 00 00 63 DE 20 0C -13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 40 01 -13 02 00 00 93 00 F0 FF 13 01 00 00 13 00 00 00 -13 00 00 00 63 DA 20 0A 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 50 01 13 02 00 00 93 00 F0 FF -13 00 00 00 13 01 00 00 63 D8 20 08 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 01 60 01 13 02 00 00 -93 00 F0 FF 13 00 00 00 13 01 00 00 13 00 00 00 -63 D4 20 06 13 02 12 00 93 02 20 00 E3 12 52 FE -93 01 70 01 13 02 00 00 93 00 F0 FF 13 00 00 00 -13 00 00 00 13 01 00 00 63 D0 20 04 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 00 10 00 63 DA 00 00 -93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00 -93 80 10 00 93 80 10 00 93 0E 30 00 93 01 80 01 -63 94 D0 01 63 18 30 00 13 0D 10 00 93 0D 00 00 -6F 00 00 00 13 0D 10 00 93 0D 10 00 6F 00 00 00 -00 00 00 00 -@00000380 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 +13 01 00 00 63 D6 20 00 63 18 30 30 63 16 30 00 +E3 DE 20 FE 63 12 30 30 93 01 30 00 93 00 10 00 +13 01 10 00 63 D6 20 00 63 18 30 2E 63 16 30 00 +E3 DE 20 FE 63 12 30 2E 93 01 40 00 93 00 F0 FF +13 01 F0 FF 63 D6 20 00 63 18 30 2C 63 16 30 00 +E3 DE 20 FE 63 12 30 2C 93 01 50 00 93 00 10 00 +13 01 00 00 63 D6 20 00 63 18 30 2A 63 16 30 00 +E3 DE 20 FE 63 12 30 2A 93 01 60 00 93 00 10 00 +13 01 F0 FF 63 D6 20 00 63 18 30 28 63 16 30 00 +E3 DE 20 FE 63 12 30 28 93 01 70 00 93 00 F0 FF +13 01 E0 FF 63 D6 20 00 63 18 30 26 63 16 30 00 +E3 DE 20 FE 63 12 30 26 93 01 80 00 93 00 00 00 +13 01 10 00 63 D4 20 00 63 14 30 00 63 16 30 24 +E3 DE 20 FE 93 01 90 00 93 00 F0 FF 13 01 10 00 +63 D4 20 00 63 14 30 00 63 18 30 22 E3 DE 20 FE +93 01 A0 00 93 00 E0 FF 13 01 F0 FF 63 D4 20 00 +63 14 30 00 63 1A 30 20 E3 DE 20 FE 93 01 B0 00 +93 00 E0 FF 13 01 10 00 63 D4 20 00 63 14 30 00 +63 1C 30 1E E3 DE 20 FE 93 01 C0 00 13 02 00 00 +93 00 F0 FF 13 01 00 00 63 D0 20 1E 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 01 D0 00 13 02 00 00 +93 00 F0 FF 13 01 00 00 13 00 00 00 63 DE 20 1A +13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 E0 00 +13 02 00 00 93 00 F0 FF 13 01 00 00 13 00 00 00 +13 00 00 00 63 DA 20 18 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 F0 00 13 02 00 00 93 00 F0 FF +13 00 00 00 13 01 00 00 63 D8 20 16 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 01 00 01 13 02 00 00 +93 00 F0 FF 13 00 00 00 13 01 00 00 13 00 00 00 +63 D4 20 14 13 02 12 00 93 02 20 00 E3 12 52 FE +93 01 10 01 13 02 00 00 93 00 F0 FF 13 00 00 00 +13 00 00 00 13 01 00 00 63 D0 20 12 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 01 20 01 13 02 00 00 +93 00 F0 FF 13 01 00 00 63 D0 20 10 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 01 30 01 13 02 00 00 +93 00 F0 FF 13 01 00 00 13 00 00 00 63 DE 20 0C +13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 40 01 +13 02 00 00 93 00 F0 FF 13 01 00 00 13 00 00 00 +13 00 00 00 63 DA 20 0A 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 50 01 13 02 00 00 93 00 F0 FF +13 00 00 00 13 01 00 00 63 D8 20 08 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 01 60 01 13 02 00 00 +93 00 F0 FF 13 00 00 00 13 01 00 00 13 00 00 00 +63 D4 20 06 13 02 12 00 93 02 20 00 E3 12 52 FE +93 01 70 01 13 02 00 00 93 00 F0 FF 13 00 00 00 +13 00 00 00 13 01 00 00 63 D0 20 04 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 00 10 00 63 DA 00 00 +93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00 +93 80 10 00 93 80 10 00 93 0E 30 00 93 01 80 01 +63 94 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 +6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +00 00 00 00 +@00000380 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-bgeu b/tests/isa/generated/rv32ui-p-bgeu old mode 100644 new mode 100755 index 54c978dd2af5bdfc115f66a6410f9c2785692c6a..c46fb5c3e2c4c2b95002e98cbb9441400937310b GIT binary patch delta 801 zcmYk*JuE{}7zW_)xJn~Le+O%bo)X<1QL~|t; zqrqSiMgxmTEFFv@27^gruvmD{{p)vzbKd7WKlk?J_Hy)d^dzL#{A9E-3Xz~NHB-}d zd{FJw+lbUQ59KhcV>EJAI}6SRQ7L4K$z2ldh_1qJJeG;&N2*${KH&A1jpM9NAqA(_ zTO)n{wSsP^BYe|0c<=c=-h1!8haYNHJ@GrQJ=7Q>@{>dX>L7b< zxZm07bSR`dh_d*#nH9=b5_NsT>`Sy5WD&GvbWnF WcM}EmOK+d|2>;(9^u`ct#rOx~^F`PI diff --git a/tests/isa/generated/rv32ui-p-bgeu.bin b/tests/isa/generated/rv32ui-p-bgeu.bin old mode 100644 new mode 100755 index de52b589d4ac8312dede2ca765581ecda2480106..e1e9adb69e59eb9f4b0d7a78160c3aac1d810611 GIT binary patch delta 29 jcmeC+=-}88!z?(Nmw`c;SAZd(fq`K%kQSbt%RCzZTW1B~ delta 29 hcmeC+=-}88!z?JwE5Ipby diff --git a/tests/isa/generated/rv32ui-p-bgeu.dump b/tests/isa/generated/rv32ui-p-bgeu.dump index 8f15f29..f0dc293 100644 --- a/tests/isa/generated/rv32ui-p-bgeu.dump +++ b/tests/isa/generated/rv32ui-p-bgeu.dump @@ -268,15 +268,15 @@ Disassembly of section .text.init: 358: 00301863 bne zero,gp,368 0000035c : - 35c: 00100d13 li s10,1 - 360: 00000d93 li s11,0 + 35c: 00000d93 li s11,0 + 360: 00100d13 li s10,1 00000364 : 364: 0000006f j 364 00000368 : - 368: 00100d13 li s10,1 - 36c: 00100d93 li s11,1 + 368: 00100d93 li s11,1 + 36c: 00100d13 li s10,1 00000370 : 370: 0000006f j 370 @@ -289,3 +289,18 @@ Disassembly of section .tohost: 00000400 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-bgeu.mem b/tests/isa/generated/rv32ui-p-bgeu.mem new file mode 100644 index 0000000..94a6cec --- /dev/null +++ b/tests/isa/generated/rv32ui-p-bgeu.mem @@ -0,0 +1,258 @@ +00000d13 +00000d93 +00200193 +00000093 +00000113 +0020f663 +34301263 +00301663 +fe20fee3 +32301c63 +00300193 +00100093 +00100113 +0020f663 +32301263 +00301663 +fe20fee3 +30301c63 +00400193 +fff00093 +fff00113 +0020f663 +30301263 +00301663 +fe20fee3 +2e301c63 +00500193 +00100093 +00000113 +0020f663 +2e301263 +00301663 +fe20fee3 +2c301c63 +00600193 +fff00093 +ffe00113 +0020f663 +2c301263 +00301663 +fe20fee3 +2a301c63 +00700193 +fff00093 +00000113 +0020f663 +2a301263 +00301663 +fe20fee3 +28301c63 +00800193 +00000093 +00100113 +0020f463 +00301463 +28301063 +fe20fee3 +00900193 +ffe00093 +fff00113 +0020f463 +00301463 +26301263 +fe20fee3 +00a00193 +00000093 +fff00113 +0020f463 +00301463 +24301463 +fe20fee3 +00b00193 +800000b7 +fff08093 +80000137 +0020f463 +00301463 +22301463 +fe20fee3 +00c00193 +00000213 +f00000b7 +fff08093 +f0000137 +2020f663 +00120213 +00200293 +fe5214e3 +00d00193 +00000213 +f00000b7 +fff08093 +f0000137 +00000013 +1e20f263 +00120213 +00200293 +fe5212e3 +00e00193 +00000213 +f00000b7 +fff08093 +f0000137 +00000013 +00000013 +1a20fc63 +00120213 +00200293 +fe5210e3 +00f00193 +00000213 +f00000b7 +fff08093 +00000013 +f0000137 +1820f863 +00120213 +00200293 +fe5212e3 +01000193 +00000213 +f00000b7 +fff08093 +00000013 +f0000137 +00000013 +1620f263 +00120213 +00200293 +fe5210e3 +01100193 +00000213 +f00000b7 +fff08093 +00000013 +00000013 +f0000137 +1220fc63 +00120213 +00200293 +fe5210e3 +01200193 +00000213 +f00000b7 +fff08093 +f0000137 +1020fa63 +00120213 +00200293 +fe5214e3 +01300193 +00000213 +f00000b7 +fff08093 +f0000137 +00000013 +0e20f663 +00120213 +00200293 +fe5212e3 +01400193 +00000213 +f00000b7 +fff08093 +f0000137 +00000013 +00000013 +0c20f063 +00120213 +00200293 +fe5210e3 +01500193 +00000213 +f00000b7 +fff08093 +00000013 +f0000137 +0820fc63 +00120213 +00200293 +fe5212e3 +01600193 +00000213 +f00000b7 +fff08093 +00000013 +f0000137 +00000013 +0620f663 +00120213 +00200293 +fe5210e3 +01700193 +00000213 +f00000b7 +fff08093 +00000013 +00000013 +f0000137 +0420f063 +00120213 +00200293 +fe5210e3 +00100093 +0000fa63 +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +01800193 +01d09463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-bgeu.verilog b/tests/isa/generated/rv32ui-p-bgeu.verilog old mode 100644 new mode 100755 index 1771970..13e33c0 --- a/tests/isa/generated/rv32ui-p-bgeu.verilog +++ b/tests/isa/generated/rv32ui-p-bgeu.verilog @@ -1,64 +1,64 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 -13 01 00 00 63 F6 20 00 63 12 30 34 63 16 30 00 -E3 FE 20 FE 63 1C 30 32 93 01 30 00 93 00 10 00 -13 01 10 00 63 F6 20 00 63 12 30 32 63 16 30 00 -E3 FE 20 FE 63 1C 30 30 93 01 40 00 93 00 F0 FF -13 01 F0 FF 63 F6 20 00 63 12 30 30 63 16 30 00 -E3 FE 20 FE 63 1C 30 2E 93 01 50 00 93 00 10 00 -13 01 00 00 63 F6 20 00 63 12 30 2E 63 16 30 00 -E3 FE 20 FE 63 1C 30 2C 93 01 60 00 93 00 F0 FF -13 01 E0 FF 63 F6 20 00 63 12 30 2C 63 16 30 00 -E3 FE 20 FE 63 1C 30 2A 93 01 70 00 93 00 F0 FF -13 01 00 00 63 F6 20 00 63 12 30 2A 63 16 30 00 -E3 FE 20 FE 63 1C 30 28 93 01 80 00 93 00 00 00 -13 01 10 00 63 F4 20 00 63 14 30 00 63 10 30 28 -E3 FE 20 FE 93 01 90 00 93 00 E0 FF 13 01 F0 FF -63 F4 20 00 63 14 30 00 63 12 30 26 E3 FE 20 FE -93 01 A0 00 93 00 00 00 13 01 F0 FF 63 F4 20 00 -63 14 30 00 63 14 30 24 E3 FE 20 FE 93 01 B0 00 -B7 00 00 80 93 80 F0 FF 37 01 00 80 63 F4 20 00 -63 14 30 00 63 14 30 22 E3 FE 20 FE 93 01 C0 00 -13 02 00 00 B7 00 00 F0 93 80 F0 FF 37 01 00 F0 -63 F6 20 20 13 02 12 00 93 02 20 00 E3 14 52 FE -93 01 D0 00 13 02 00 00 B7 00 00 F0 93 80 F0 FF -37 01 00 F0 13 00 00 00 63 F2 20 1E 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 E0 00 13 02 00 00 -B7 00 00 F0 93 80 F0 FF 37 01 00 F0 13 00 00 00 -13 00 00 00 63 FC 20 1A 13 02 12 00 93 02 20 00 -E3 10 52 FE 93 01 F0 00 13 02 00 00 B7 00 00 F0 -93 80 F0 FF 13 00 00 00 37 01 00 F0 63 F8 20 18 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 00 01 -13 02 00 00 B7 00 00 F0 93 80 F0 FF 13 00 00 00 -37 01 00 F0 13 00 00 00 63 F2 20 16 13 02 12 00 -93 02 20 00 E3 10 52 FE 93 01 10 01 13 02 00 00 -B7 00 00 F0 93 80 F0 FF 13 00 00 00 13 00 00 00 -37 01 00 F0 63 FC 20 12 13 02 12 00 93 02 20 00 -E3 10 52 FE 93 01 20 01 13 02 00 00 B7 00 00 F0 -93 80 F0 FF 37 01 00 F0 63 FA 20 10 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 01 30 01 13 02 00 00 -B7 00 00 F0 93 80 F0 FF 37 01 00 F0 13 00 00 00 -63 F6 20 0E 13 02 12 00 93 02 20 00 E3 12 52 FE -93 01 40 01 13 02 00 00 B7 00 00 F0 93 80 F0 FF -37 01 00 F0 13 00 00 00 13 00 00 00 63 F0 20 0C -13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 50 01 -13 02 00 00 B7 00 00 F0 93 80 F0 FF 13 00 00 00 -37 01 00 F0 63 FC 20 08 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 60 01 13 02 00 00 B7 00 00 F0 -93 80 F0 FF 13 00 00 00 37 01 00 F0 13 00 00 00 -63 F6 20 06 13 02 12 00 93 02 20 00 E3 10 52 FE -93 01 70 01 13 02 00 00 B7 00 00 F0 93 80 F0 FF -13 00 00 00 13 00 00 00 37 01 00 F0 63 F0 20 04 -13 02 12 00 93 02 20 00 E3 10 52 FE 93 00 10 00 -63 FA 00 00 93 80 10 00 93 80 10 00 93 80 10 00 -93 80 10 00 93 80 10 00 93 80 10 00 93 0E 30 00 -93 01 80 01 63 94 D0 01 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@000003C0 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 +13 01 00 00 63 F6 20 00 63 12 30 34 63 16 30 00 +E3 FE 20 FE 63 1C 30 32 93 01 30 00 93 00 10 00 +13 01 10 00 63 F6 20 00 63 12 30 32 63 16 30 00 +E3 FE 20 FE 63 1C 30 30 93 01 40 00 93 00 F0 FF +13 01 F0 FF 63 F6 20 00 63 12 30 30 63 16 30 00 +E3 FE 20 FE 63 1C 30 2E 93 01 50 00 93 00 10 00 +13 01 00 00 63 F6 20 00 63 12 30 2E 63 16 30 00 +E3 FE 20 FE 63 1C 30 2C 93 01 60 00 93 00 F0 FF +13 01 E0 FF 63 F6 20 00 63 12 30 2C 63 16 30 00 +E3 FE 20 FE 63 1C 30 2A 93 01 70 00 93 00 F0 FF +13 01 00 00 63 F6 20 00 63 12 30 2A 63 16 30 00 +E3 FE 20 FE 63 1C 30 28 93 01 80 00 93 00 00 00 +13 01 10 00 63 F4 20 00 63 14 30 00 63 10 30 28 +E3 FE 20 FE 93 01 90 00 93 00 E0 FF 13 01 F0 FF +63 F4 20 00 63 14 30 00 63 12 30 26 E3 FE 20 FE +93 01 A0 00 93 00 00 00 13 01 F0 FF 63 F4 20 00 +63 14 30 00 63 14 30 24 E3 FE 20 FE 93 01 B0 00 +B7 00 00 80 93 80 F0 FF 37 01 00 80 63 F4 20 00 +63 14 30 00 63 14 30 22 E3 FE 20 FE 93 01 C0 00 +13 02 00 00 B7 00 00 F0 93 80 F0 FF 37 01 00 F0 +63 F6 20 20 13 02 12 00 93 02 20 00 E3 14 52 FE +93 01 D0 00 13 02 00 00 B7 00 00 F0 93 80 F0 FF +37 01 00 F0 13 00 00 00 63 F2 20 1E 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 01 E0 00 13 02 00 00 +B7 00 00 F0 93 80 F0 FF 37 01 00 F0 13 00 00 00 +13 00 00 00 63 FC 20 1A 13 02 12 00 93 02 20 00 +E3 10 52 FE 93 01 F0 00 13 02 00 00 B7 00 00 F0 +93 80 F0 FF 13 00 00 00 37 01 00 F0 63 F8 20 18 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 00 01 +13 02 00 00 B7 00 00 F0 93 80 F0 FF 13 00 00 00 +37 01 00 F0 13 00 00 00 63 F2 20 16 13 02 12 00 +93 02 20 00 E3 10 52 FE 93 01 10 01 13 02 00 00 +B7 00 00 F0 93 80 F0 FF 13 00 00 00 13 00 00 00 +37 01 00 F0 63 FC 20 12 13 02 12 00 93 02 20 00 +E3 10 52 FE 93 01 20 01 13 02 00 00 B7 00 00 F0 +93 80 F0 FF 37 01 00 F0 63 FA 20 10 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 01 30 01 13 02 00 00 +B7 00 00 F0 93 80 F0 FF 37 01 00 F0 13 00 00 00 +63 F6 20 0E 13 02 12 00 93 02 20 00 E3 12 52 FE +93 01 40 01 13 02 00 00 B7 00 00 F0 93 80 F0 FF +37 01 00 F0 13 00 00 00 13 00 00 00 63 F0 20 0C +13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 50 01 +13 02 00 00 B7 00 00 F0 93 80 F0 FF 13 00 00 00 +37 01 00 F0 63 FC 20 08 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 60 01 13 02 00 00 B7 00 00 F0 +93 80 F0 FF 13 00 00 00 37 01 00 F0 13 00 00 00 +63 F6 20 06 13 02 12 00 93 02 20 00 E3 10 52 FE +93 01 70 01 13 02 00 00 B7 00 00 F0 93 80 F0 FF +13 00 00 00 13 00 00 00 37 01 00 F0 63 F0 20 04 +13 02 12 00 93 02 20 00 E3 10 52 FE 93 00 10 00 +63 FA 00 00 93 80 10 00 93 80 10 00 93 80 10 00 +93 80 10 00 93 80 10 00 93 80 10 00 93 0E 30 00 +93 01 80 01 63 94 D0 01 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@000003C0 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-blt b/tests/isa/generated/rv32ui-p-blt old mode 100644 new mode 100755 index 4194810ce64f80ce93ff5e25b94cdb1972384319..3e4bc9fab541645d47091e18bb6e404a4dd4300d GIT binary patch delta 758 zcmYk)KS%;m90%~<^G_KhS(lwgkw$|MoT3pl1O*Nb1qF>QmjxaKiC(q@*U-?=pj<&i zgM$PS1mWV)ER78z4h7NLR8vDx-@Egj@4#1r?3dm%)1&R@)1P#)_ zL*{ZUYzB#>V2BRztBI$bYwBHeHHu0}E9Wc3MiBj_N+zwPi>aDAV>YC5!(Og8c*%=Z z`^`sRf5TwFEit-9e)8}FBMC9ViP@9LIl*z{f?(^vr+pig1nT_)Eh0Y(UPkT;&LaN^ zUPGR8Pt9lx&I;a!3xapys%wMMJ_;?jz(|L?f{)=}!Pe>Lgc~@AbAm76qTp-zN^lc? z;Wlt(4HTYv0ltS{1-D=dS%dZPP}>QvJ9cu05az!jo_V=F^nrLH_!C~~8Q%(a%I6E4 zN9)>BvlEutsA7LFimK}+ZRb$mE7#O{Ga_%q6->muqO&wM!OOSaH*d^TWm##Un!=)f jl&8^s=+^0n(0jWg;SRz?-(=p%vyt`$PGlFIf#3E&75hjg delta 698 zcmYk)KS;ws6bA5jvHlwh!C2$ap%jOLP+N2m7p)dswTeR>#4KqjR8ShNi;Goos9Q@A zbgNq(i;IGwPU<3p4jn8wxwz;iDCn2Oq!+lm@Ar~$??S4P*P(Ji`sgF;8leC&3Q-5O z*M|>#e3Dlr!%LLMT|0}Euc)`iwbWZ=_B+<>q0iqaQkPp}^niRPI0Z(dh#`mAW*j-m zc^Wy#c^-M6a~iqYa*^Dxhx@8L(sMSQ|16kePH+=NLqCvy?#-43q5 ztz=Iko)^$;sm-xKo$xMa5uV^oQ)9|fA-h>nQZvEWLSk+ws>F0Xu5PJHDzB+)N;SqzsXq5%5!U`s!Sxti^EWBhM}L{#$;$;^0@gZ)?d NHN#fXp3sqX{Q(yoNM8T| diff --git a/tests/isa/generated/rv32ui-p-blt.bin b/tests/isa/generated/rv32ui-p-blt.bin old mode 100644 new mode 100755 index 8d3346e75f3908efd68edbec87d10f33d416c150..3df9066c6b2963e7ac523e6c0dceab3f9ab93994 GIT binary patch delta 37 ocmeBR?_l3>f=P5TF9U-xuK+_n0|UckAPr_ue#o?Z@&_gs0G~q$;s5{u delta 37 ocmeBR?_l3>f=N`ESAbzMF9Sn90|NtuEx?dJ`61Kt$sd?l0Gopd;s5{u diff --git a/tests/isa/generated/rv32ui-p-blt.dump b/tests/isa/generated/rv32ui-p-blt.dump index 4f6176f..486954e 100644 --- a/tests/isa/generated/rv32ui-p-blt.dump +++ b/tests/isa/generated/rv32ui-p-blt.dump @@ -225,15 +225,15 @@ Disassembly of section .text.init: 2c4: 00301863 bne zero,gp,2d4 000002c8 : - 2c8: 00100d13 li s10,1 - 2cc: 00000d93 li s11,0 + 2c8: 00000d93 li s11,0 + 2cc: 00100d13 li s10,1 000002d0 : 2d0: 0000006f j 2d0 000002d4 : - 2d4: 00100d13 li s10,1 - 2d8: 00100d93 li s11,1 + 2d4: 00100d93 li s11,1 + 2d8: 00100d13 li s10,1 000002dc : 2dc: 0000006f j 2dc @@ -246,3 +246,18 @@ Disassembly of section .tohost: 00000380 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-blt.mem b/tests/isa/generated/rv32ui-p-blt.mem new file mode 100644 index 0000000..4f9fe9e --- /dev/null +++ b/tests/isa/generated/rv32ui-p-blt.mem @@ -0,0 +1,226 @@ +00000d13 +00000d93 +00200193 +00000093 +00100113 +0020c663 +2a301863 +00301663 +fe20cee3 +2a301263 +00300193 +fff00093 +00100113 +0020c663 +28301863 +00301663 +fe20cee3 +28301263 +00400193 +ffe00093 +fff00113 +0020c663 +26301863 +00301663 +fe20cee3 +26301263 +00500193 +00100093 +00000113 +0020c463 +00301463 +24301663 +fe20cee3 +00600193 +00100093 +fff00113 +0020c463 +00301463 +22301863 +fe20cee3 +00700193 +fff00093 +ffe00113 +0020c463 +00301463 +20301a63 +fe20cee3 +00800193 +00100093 +ffe00113 +0020c463 +00301463 +1e301c63 +fe20cee3 +00900193 +00000213 +00000093 +fff00113 +1e20c063 +00120213 +00200293 +fe5216e3 +00a00193 +00000213 +00000093 +fff00113 +00000013 +1a20ce63 +00120213 +00200293 +fe5214e3 +00b00193 +00000213 +00000093 +fff00113 +00000013 +00000013 +1820ca63 +00120213 +00200293 +fe5212e3 +00c00193 +00000213 +00000093 +00000013 +fff00113 +1620c863 +00120213 +00200293 +fe5214e3 +00d00193 +00000213 +00000093 +00000013 +fff00113 +00000013 +1420c463 +00120213 +00200293 +fe5212e3 +00e00193 +00000213 +00000093 +00000013 +00000013 +fff00113 +1220c063 +00120213 +00200293 +fe5212e3 +00f00193 +00000213 +00000093 +fff00113 +1020c063 +00120213 +00200293 +fe5216e3 +01000193 +00000213 +00000093 +fff00113 +00000013 +0c20ce63 +00120213 +00200293 +fe5214e3 +01100193 +00000213 +00000093 +fff00113 +00000013 +00000013 +0a20ca63 +00120213 +00200293 +fe5212e3 +01200193 +00000213 +00000093 +00000013 +fff00113 +0820c863 +00120213 +00200293 +fe5214e3 +01300193 +00000213 +00000093 +00000013 +fff00113 +00000013 +0620c463 +00120213 +00200293 +fe5212e3 +01400193 +00000213 +00000093 +00000013 +00000013 +fff00113 +0420c063 +00120213 +00200293 +fe5212e3 +00100093 +00104a63 +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +01500193 +01d09463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-blt.verilog b/tests/isa/generated/rv32ui-p-blt.verilog old mode 100644 new mode 100755 index b0f22b3..eae92dc --- a/tests/isa/generated/rv32ui-p-blt.verilog +++ b/tests/isa/generated/rv32ui-p-blt.verilog @@ -1,56 +1,56 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 -13 01 10 00 63 C6 20 00 63 18 30 2A 63 16 30 00 -E3 CE 20 FE 63 12 30 2A 93 01 30 00 93 00 F0 FF -13 01 10 00 63 C6 20 00 63 18 30 28 63 16 30 00 -E3 CE 20 FE 63 12 30 28 93 01 40 00 93 00 E0 FF -13 01 F0 FF 63 C6 20 00 63 18 30 26 63 16 30 00 -E3 CE 20 FE 63 12 30 26 93 01 50 00 93 00 10 00 -13 01 00 00 63 C4 20 00 63 14 30 00 63 16 30 24 -E3 CE 20 FE 93 01 60 00 93 00 10 00 13 01 F0 FF -63 C4 20 00 63 14 30 00 63 18 30 22 E3 CE 20 FE -93 01 70 00 93 00 F0 FF 13 01 E0 FF 63 C4 20 00 -63 14 30 00 63 1A 30 20 E3 CE 20 FE 93 01 80 00 -93 00 10 00 13 01 E0 FF 63 C4 20 00 63 14 30 00 -63 1C 30 1E E3 CE 20 FE 93 01 90 00 13 02 00 00 -93 00 00 00 13 01 F0 FF 63 C0 20 1E 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 01 A0 00 13 02 00 00 -93 00 00 00 13 01 F0 FF 13 00 00 00 63 CE 20 1A -13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 B0 00 -13 02 00 00 93 00 00 00 13 01 F0 FF 13 00 00 00 -13 00 00 00 63 CA 20 18 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 C0 00 13 02 00 00 93 00 00 00 -13 00 00 00 13 01 F0 FF 63 C8 20 16 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 01 D0 00 13 02 00 00 -93 00 00 00 13 00 00 00 13 01 F0 FF 13 00 00 00 -63 C4 20 14 13 02 12 00 93 02 20 00 E3 12 52 FE -93 01 E0 00 13 02 00 00 93 00 00 00 13 00 00 00 -13 00 00 00 13 01 F0 FF 63 C0 20 12 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 F0 00 13 02 00 00 -93 00 00 00 13 01 F0 FF 63 C0 20 10 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 01 00 01 13 02 00 00 -93 00 00 00 13 01 F0 FF 13 00 00 00 63 CE 20 0C -13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 10 01 -13 02 00 00 93 00 00 00 13 01 F0 FF 13 00 00 00 -13 00 00 00 63 CA 20 0A 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 20 01 13 02 00 00 93 00 00 00 -13 00 00 00 13 01 F0 FF 63 C8 20 08 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 01 30 01 13 02 00 00 -93 00 00 00 13 00 00 00 13 01 F0 FF 13 00 00 00 -63 C4 20 06 13 02 12 00 93 02 20 00 E3 12 52 FE -93 01 40 01 13 02 00 00 93 00 00 00 13 00 00 00 -13 00 00 00 13 01 F0 FF 63 C0 20 04 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 00 10 00 63 4A 10 00 -93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00 -93 80 10 00 93 80 10 00 93 0E 30 00 93 01 50 01 -63 94 D0 01 63 18 30 00 13 0D 10 00 93 0D 00 00 -6F 00 00 00 13 0D 10 00 93 0D 10 00 6F 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000340 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 +13 01 10 00 63 C6 20 00 63 18 30 2A 63 16 30 00 +E3 CE 20 FE 63 12 30 2A 93 01 30 00 93 00 F0 FF +13 01 10 00 63 C6 20 00 63 18 30 28 63 16 30 00 +E3 CE 20 FE 63 12 30 28 93 01 40 00 93 00 E0 FF +13 01 F0 FF 63 C6 20 00 63 18 30 26 63 16 30 00 +E3 CE 20 FE 63 12 30 26 93 01 50 00 93 00 10 00 +13 01 00 00 63 C4 20 00 63 14 30 00 63 16 30 24 +E3 CE 20 FE 93 01 60 00 93 00 10 00 13 01 F0 FF +63 C4 20 00 63 14 30 00 63 18 30 22 E3 CE 20 FE +93 01 70 00 93 00 F0 FF 13 01 E0 FF 63 C4 20 00 +63 14 30 00 63 1A 30 20 E3 CE 20 FE 93 01 80 00 +93 00 10 00 13 01 E0 FF 63 C4 20 00 63 14 30 00 +63 1C 30 1E E3 CE 20 FE 93 01 90 00 13 02 00 00 +93 00 00 00 13 01 F0 FF 63 C0 20 1E 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 01 A0 00 13 02 00 00 +93 00 00 00 13 01 F0 FF 13 00 00 00 63 CE 20 1A +13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 B0 00 +13 02 00 00 93 00 00 00 13 01 F0 FF 13 00 00 00 +13 00 00 00 63 CA 20 18 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 C0 00 13 02 00 00 93 00 00 00 +13 00 00 00 13 01 F0 FF 63 C8 20 16 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 01 D0 00 13 02 00 00 +93 00 00 00 13 00 00 00 13 01 F0 FF 13 00 00 00 +63 C4 20 14 13 02 12 00 93 02 20 00 E3 12 52 FE +93 01 E0 00 13 02 00 00 93 00 00 00 13 00 00 00 +13 00 00 00 13 01 F0 FF 63 C0 20 12 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 01 F0 00 13 02 00 00 +93 00 00 00 13 01 F0 FF 63 C0 20 10 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 01 00 01 13 02 00 00 +93 00 00 00 13 01 F0 FF 13 00 00 00 63 CE 20 0C +13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 10 01 +13 02 00 00 93 00 00 00 13 01 F0 FF 13 00 00 00 +13 00 00 00 63 CA 20 0A 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 20 01 13 02 00 00 93 00 00 00 +13 00 00 00 13 01 F0 FF 63 C8 20 08 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 01 30 01 13 02 00 00 +93 00 00 00 13 00 00 00 13 01 F0 FF 13 00 00 00 +63 C4 20 06 13 02 12 00 93 02 20 00 E3 12 52 FE +93 01 40 01 13 02 00 00 93 00 00 00 13 00 00 00 +13 00 00 00 13 01 F0 FF 63 C0 20 04 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 00 10 00 63 4A 10 00 +93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00 +93 80 10 00 93 80 10 00 93 0E 30 00 93 01 50 01 +63 94 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 +6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000340 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-bltu b/tests/isa/generated/rv32ui-p-bltu old mode 100644 new mode 100755 index d1c2cd5fba93538ed4b57d991edfce478ec682f6..47a0ddfa33ef24ef4f03dd15c6daac3c7e380cac GIT binary patch delta 757 zcmYk4KS;ws6vp56pA}k+rfph5Y@G^146T1ALBXLz2aygAE@>4k2$nW>D%8Qz!4gM@ z3J$sm(m@9YC#m2lP6{H$#YrazLBC6r^d8*1@Au`s%O!Vh?M*vM$~lFsn585!O4ASp zuCfnfQ8P>=hb7v@QxmhLTl#fkIRU3yu9fPUh-nq zLHOwFF9Zy_7NZO3Uyoxjk|EO$qI(=VCvXaSTVP&$FyJQ50NMkC=Amx|UW9%Tc!g_s zmyGhjw7>;$LEsH=L*PyDxywyPJ20MHgOLIL5x4DPyQ0zowaW<-2*G1J0qgpQR8C$iMK5ecmt0@(IXsckdqKmWV$L$0&PZdS&!PT&) kANB->4}))h7{h)k6j3Bb^iAf6G8GTtRxep*KRG}DACX^0KL7v# delta 696 zcmYk&F-QVY7zgn0nUxK~Bhzzmu!axQ&GK4Q&Y`syW-d~Qw9GxD#;DF~WFj5)+t7LZq&myx%a z*N{({^T>_9YqSa6_X|`&eq-J?v)iShJut?60Oy%c;6vs!_?o#6zqrQzFH!h*3xclT zD7*0uoMmppRpvXm!Tbn6F~7pT0Zi5q)W+&{T*K8mC`hLOzndGSIhl)xKJDQ8$4d4j zvEPH{TmR?H{loABvxJYCX>nfNsFe0AYBoKdT3^W=CDoL!FKJax&6bPWj+!s+>T0o= z%B3^2w~-2ii12EG6Q diff --git a/tests/isa/generated/rv32ui-p-bltu.dump b/tests/isa/generated/rv32ui-p-bltu.dump index f77ff22..0338d1d 100644 --- a/tests/isa/generated/rv32ui-p-bltu.dump +++ b/tests/isa/generated/rv32ui-p-bltu.dump @@ -238,15 +238,15 @@ Disassembly of section .text.init: 2f8: 00301863 bne zero,gp,308 000002fc : - 2fc: 00100d13 li s10,1 - 300: 00000d93 li s11,0 + 2fc: 00000d93 li s11,0 + 300: 00100d13 li s10,1 00000304 : 304: 0000006f j 304 00000308 : - 308: 00100d13 li s10,1 - 30c: 00100d93 li s11,1 + 308: 00100d93 li s11,1 + 30c: 00100d13 li s10,1 00000310 : 310: 0000006f j 310 @@ -259,3 +259,18 @@ Disassembly of section .tohost: 000003c0 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-bltu.mem b/tests/isa/generated/rv32ui-p-bltu.mem new file mode 100644 index 0000000..66bfcbe --- /dev/null +++ b/tests/isa/generated/rv32ui-p-bltu.mem @@ -0,0 +1,242 @@ +00000d13 +00000d93 +00200193 +00000093 +00100113 +0020e663 +2e301263 +00301663 +fe20eee3 +2c301c63 +00300193 +ffe00093 +fff00113 +0020e663 +2c301263 +00301663 +fe20eee3 +2a301c63 +00400193 +00000093 +fff00113 +0020e663 +2a301263 +00301663 +fe20eee3 +28301c63 +00500193 +00100093 +00000113 +0020e463 +00301463 +28301063 +fe20eee3 +00600193 +fff00093 +ffe00113 +0020e463 +00301463 +26301263 +fe20eee3 +00700193 +fff00093 +00000113 +0020e463 +00301463 +24301463 +fe20eee3 +00800193 +800000b7 +80000137 +fff10113 +0020e463 +00301463 +22301463 +fe20eee3 +00900193 +00000213 +f00000b7 +f0000137 +fff10113 +2020e663 +00120213 +00200293 +fe5214e3 +00a00193 +00000213 +f00000b7 +f0000137 +fff10113 +00000013 +1e20e263 +00120213 +00200293 +fe5212e3 +00b00193 +00000213 +f00000b7 +f0000137 +fff10113 +00000013 +00000013 +1a20ec63 +00120213 +00200293 +fe5210e3 +00c00193 +00000213 +f00000b7 +00000013 +f0000137 +fff10113 +1820e863 +00120213 +00200293 +fe5212e3 +00d00193 +00000213 +f00000b7 +00000013 +f0000137 +fff10113 +00000013 +1620e263 +00120213 +00200293 +fe5210e3 +00e00193 +00000213 +f00000b7 +00000013 +00000013 +f0000137 +fff10113 +1220ec63 +00120213 +00200293 +fe5210e3 +00f00193 +00000213 +f00000b7 +f0000137 +fff10113 +1020ea63 +00120213 +00200293 +fe5214e3 +01000193 +00000213 +f00000b7 +f0000137 +fff10113 +00000013 +0e20e663 +00120213 +00200293 +fe5212e3 +01100193 +00000213 +f00000b7 +f0000137 +fff10113 +00000013 +00000013 +0c20e063 +00120213 +00200293 +fe5210e3 +01200193 +00000213 +f00000b7 +00000013 +f0000137 +fff10113 +0820ec63 +00120213 +00200293 +fe5212e3 +01300193 +00000213 +f00000b7 +00000013 +f0000137 +fff10113 +00000013 +0620e663 +00120213 +00200293 +fe5210e3 +01400193 +00000213 +f00000b7 +00000013 +00000013 +f0000137 +fff10113 +0420e063 +00120213 +00200293 +fe5210e3 +00100093 +00106a63 +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +01500193 +01d09463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-bltu.verilog b/tests/isa/generated/rv32ui-p-bltu.verilog old mode 100644 new mode 100755 index 8bc8aef..50be845 --- a/tests/isa/generated/rv32ui-p-bltu.verilog +++ b/tests/isa/generated/rv32ui-p-bltu.verilog @@ -1,60 +1,60 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 -13 01 10 00 63 E6 20 00 63 12 30 2E 63 16 30 00 -E3 EE 20 FE 63 1C 30 2C 93 01 30 00 93 00 E0 FF -13 01 F0 FF 63 E6 20 00 63 12 30 2C 63 16 30 00 -E3 EE 20 FE 63 1C 30 2A 93 01 40 00 93 00 00 00 -13 01 F0 FF 63 E6 20 00 63 12 30 2A 63 16 30 00 -E3 EE 20 FE 63 1C 30 28 93 01 50 00 93 00 10 00 -13 01 00 00 63 E4 20 00 63 14 30 00 63 10 30 28 -E3 EE 20 FE 93 01 60 00 93 00 F0 FF 13 01 E0 FF -63 E4 20 00 63 14 30 00 63 12 30 26 E3 EE 20 FE -93 01 70 00 93 00 F0 FF 13 01 00 00 63 E4 20 00 -63 14 30 00 63 14 30 24 E3 EE 20 FE 93 01 80 00 -B7 00 00 80 37 01 00 80 13 01 F1 FF 63 E4 20 00 -63 14 30 00 63 14 30 22 E3 EE 20 FE 93 01 90 00 -13 02 00 00 B7 00 00 F0 37 01 00 F0 13 01 F1 FF -63 E6 20 20 13 02 12 00 93 02 20 00 E3 14 52 FE -93 01 A0 00 13 02 00 00 B7 00 00 F0 37 01 00 F0 -13 01 F1 FF 13 00 00 00 63 E2 20 1E 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 B0 00 13 02 00 00 -B7 00 00 F0 37 01 00 F0 13 01 F1 FF 13 00 00 00 -13 00 00 00 63 EC 20 1A 13 02 12 00 93 02 20 00 -E3 10 52 FE 93 01 C0 00 13 02 00 00 B7 00 00 F0 -13 00 00 00 37 01 00 F0 13 01 F1 FF 63 E8 20 18 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 D0 00 -13 02 00 00 B7 00 00 F0 13 00 00 00 37 01 00 F0 -13 01 F1 FF 13 00 00 00 63 E2 20 16 13 02 12 00 -93 02 20 00 E3 10 52 FE 93 01 E0 00 13 02 00 00 -B7 00 00 F0 13 00 00 00 13 00 00 00 37 01 00 F0 -13 01 F1 FF 63 EC 20 12 13 02 12 00 93 02 20 00 -E3 10 52 FE 93 01 F0 00 13 02 00 00 B7 00 00 F0 -37 01 00 F0 13 01 F1 FF 63 EA 20 10 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 01 00 01 13 02 00 00 -B7 00 00 F0 37 01 00 F0 13 01 F1 FF 13 00 00 00 -63 E6 20 0E 13 02 12 00 93 02 20 00 E3 12 52 FE -93 01 10 01 13 02 00 00 B7 00 00 F0 37 01 00 F0 -13 01 F1 FF 13 00 00 00 13 00 00 00 63 E0 20 0C -13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 20 01 -13 02 00 00 B7 00 00 F0 13 00 00 00 37 01 00 F0 -13 01 F1 FF 63 EC 20 08 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 30 01 13 02 00 00 B7 00 00 F0 -13 00 00 00 37 01 00 F0 13 01 F1 FF 13 00 00 00 -63 E6 20 06 13 02 12 00 93 02 20 00 E3 10 52 FE -93 01 40 01 13 02 00 00 B7 00 00 F0 13 00 00 00 -13 00 00 00 37 01 00 F0 13 01 F1 FF 63 E0 20 04 -13 02 12 00 93 02 20 00 E3 10 52 FE 93 00 10 00 -63 6A 10 00 93 80 10 00 93 80 10 00 93 80 10 00 -93 80 10 00 93 80 10 00 93 80 10 00 93 0E 30 00 -93 01 50 01 63 94 D0 01 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000380 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 +13 01 10 00 63 E6 20 00 63 12 30 2E 63 16 30 00 +E3 EE 20 FE 63 1C 30 2C 93 01 30 00 93 00 E0 FF +13 01 F0 FF 63 E6 20 00 63 12 30 2C 63 16 30 00 +E3 EE 20 FE 63 1C 30 2A 93 01 40 00 93 00 00 00 +13 01 F0 FF 63 E6 20 00 63 12 30 2A 63 16 30 00 +E3 EE 20 FE 63 1C 30 28 93 01 50 00 93 00 10 00 +13 01 00 00 63 E4 20 00 63 14 30 00 63 10 30 28 +E3 EE 20 FE 93 01 60 00 93 00 F0 FF 13 01 E0 FF +63 E4 20 00 63 14 30 00 63 12 30 26 E3 EE 20 FE +93 01 70 00 93 00 F0 FF 13 01 00 00 63 E4 20 00 +63 14 30 00 63 14 30 24 E3 EE 20 FE 93 01 80 00 +B7 00 00 80 37 01 00 80 13 01 F1 FF 63 E4 20 00 +63 14 30 00 63 14 30 22 E3 EE 20 FE 93 01 90 00 +13 02 00 00 B7 00 00 F0 37 01 00 F0 13 01 F1 FF +63 E6 20 20 13 02 12 00 93 02 20 00 E3 14 52 FE +93 01 A0 00 13 02 00 00 B7 00 00 F0 37 01 00 F0 +13 01 F1 FF 13 00 00 00 63 E2 20 1E 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 01 B0 00 13 02 00 00 +B7 00 00 F0 37 01 00 F0 13 01 F1 FF 13 00 00 00 +13 00 00 00 63 EC 20 1A 13 02 12 00 93 02 20 00 +E3 10 52 FE 93 01 C0 00 13 02 00 00 B7 00 00 F0 +13 00 00 00 37 01 00 F0 13 01 F1 FF 63 E8 20 18 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 D0 00 +13 02 00 00 B7 00 00 F0 13 00 00 00 37 01 00 F0 +13 01 F1 FF 13 00 00 00 63 E2 20 16 13 02 12 00 +93 02 20 00 E3 10 52 FE 93 01 E0 00 13 02 00 00 +B7 00 00 F0 13 00 00 00 13 00 00 00 37 01 00 F0 +13 01 F1 FF 63 EC 20 12 13 02 12 00 93 02 20 00 +E3 10 52 FE 93 01 F0 00 13 02 00 00 B7 00 00 F0 +37 01 00 F0 13 01 F1 FF 63 EA 20 10 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 01 00 01 13 02 00 00 +B7 00 00 F0 37 01 00 F0 13 01 F1 FF 13 00 00 00 +63 E6 20 0E 13 02 12 00 93 02 20 00 E3 12 52 FE +93 01 10 01 13 02 00 00 B7 00 00 F0 37 01 00 F0 +13 01 F1 FF 13 00 00 00 13 00 00 00 63 E0 20 0C +13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 20 01 +13 02 00 00 B7 00 00 F0 13 00 00 00 37 01 00 F0 +13 01 F1 FF 63 EC 20 08 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 30 01 13 02 00 00 B7 00 00 F0 +13 00 00 00 37 01 00 F0 13 01 F1 FF 13 00 00 00 +63 E6 20 06 13 02 12 00 93 02 20 00 E3 10 52 FE +93 01 40 01 13 02 00 00 B7 00 00 F0 13 00 00 00 +13 00 00 00 37 01 00 F0 13 01 F1 FF 63 E0 20 04 +13 02 12 00 93 02 20 00 E3 10 52 FE 93 00 10 00 +63 6A 10 00 93 80 10 00 93 80 10 00 93 80 10 00 +93 80 10 00 93 80 10 00 93 80 10 00 93 0E 30 00 +93 01 50 01 63 94 D0 01 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000380 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-bne b/tests/isa/generated/rv32ui-p-bne old mode 100644 new mode 100755 index 6778232b4b6e3a2c18e519814206700e41eb2abb..01cc3e933a66d5aa56d6ebf97675b41287b9b854 GIT binary patch delta 758 zcmYk)JxBs!7zgm@^`i`utjkV=NSlP<6b-kapus_+p|RzC1EoCd2rn+o)q)pfU>2UO|u!517wjVt0H2rkF3`B6ADYncHxixeLF$Ht@)- zyMJ>F@B=(#eu61t4c5g+JtuhX+sPRsnE!#;@VoZWC*nEt7rZetz7_1GY1_rqT3+k+ zqq3M)?C(WUb-h~JKhY0sO?B0b$va5}6EUyiER9X@@~!vnHesr=taMP#V9_ATOXvY~ e>-1CTqg|13%Tb~qGH>OTSZ@I*vWw2(KK*|qe@A!# delta 698 zcmYk)J4nM&6b9gvSYJaS7;79HLZ^aITk0S#T9jI`ibI{ul9qx(U)nA%R=2uY;! zaHwN(5(Fzwg1G3=p@mK^E;=jdpTwjmaPR%UlZ1P2$VI3(bE?Xp6J%Ypq>?}Z8lu5w zq(0`8y%HH-q5|&PS)xKse>7V1ctx<^v1Si_{f3c7+?qfy$j_ov5NHlD;1Js^Ajg?w z$UDsI$W`Vf@>Smz+6G$v0;P~U%)7`x%zMbH+qFOkaGd!V&N83CXUsMD&Na?oN1@{u z1iFNYo%k9IFgM`@^DSIvet^%J+i;ut34U{2!6$r0q30CfE=-a+nTtptc5wY|C3}+a zyolzj1CB)+hL4yfc#)Zw=C!R-X1}B*R{hb9m9@jL7A+Q+^|G!d3TZv7B{R9AmQH6P z`B*-8)AcDrEj4X~lue_dNWrR1q@rIBww%H+SF_zwjQ?$rh#Ed3MKInK|KvS>&7f7Z ICv;?8e@E9x^Z)<= diff --git a/tests/isa/generated/rv32ui-p-bne.bin b/tests/isa/generated/rv32ui-p-bne.bin old mode 100644 new mode 100755 index 1e78a51aeeae45fa36a6299c642ccdd6edec3c4d..9f4168b2476ced439dd80ea140be5fbb0fdddf97 GIT binary patch delta 29 jcmeBR?_l3>hDmTTF9U-xuK+_n0|UckAT2!kF4JlNX7~op delta 29 hcmeBR?_l3>hDlJESAbzMF9Sn90|Nt?J^3!vY5-$62F(Bf diff --git a/tests/isa/generated/rv32ui-p-bne.dump b/tests/isa/generated/rv32ui-p-bne.dump index 6e97859..fcd0dda 100644 --- a/tests/isa/generated/rv32ui-p-bne.dump +++ b/tests/isa/generated/rv32ui-p-bne.dump @@ -226,15 +226,15 @@ Disassembly of section .text.init: 2c8: 00301863 bne zero,gp,2d8 000002cc : - 2cc: 00100d13 li s10,1 - 2d0: 00000d93 li s11,0 + 2cc: 00000d93 li s11,0 + 2d0: 00100d13 li s10,1 000002d4 : 2d4: 0000006f j 2d4 000002d8 : - 2d8: 00100d13 li s10,1 - 2dc: 00100d93 li s11,1 + 2d8: 00100d93 li s11,1 + 2dc: 00100d13 li s10,1 000002e0 : 2e0: 0000006f j 2e0 @@ -247,3 +247,18 @@ Disassembly of section .tohost: 00000380 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-bne.mem b/tests/isa/generated/rv32ui-p-bne.mem new file mode 100644 index 0000000..96ceac6 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-bne.mem @@ -0,0 +1,226 @@ +00000d13 +00000d93 +00200193 +00000093 +00100113 +00209663 +2a301a63 +00301663 +fe209ee3 +2a301463 +00300193 +00100093 +00000113 +00209663 +28301a63 +00301663 +fe209ee3 +28301463 +00400193 +fff00093 +00100113 +00209663 +26301a63 +00301663 +fe209ee3 +26301463 +00500193 +00100093 +fff00113 +00209663 +24301a63 +00301663 +fe209ee3 +24301463 +00600193 +00000093 +00000113 +00209463 +00301463 +22301863 +fe209ee3 +00700193 +00100093 +00100113 +00209463 +00301463 +20301a63 +fe209ee3 +00800193 +fff00093 +fff00113 +00209463 +00301463 +1e301c63 +fe209ee3 +00900193 +00000213 +00000093 +00000113 +1e209063 +00120213 +00200293 +fe5216e3 +00a00193 +00000213 +00000093 +00000113 +00000013 +1a209e63 +00120213 +00200293 +fe5214e3 +00b00193 +00000213 +00000093 +00000113 +00000013 +00000013 +18209a63 +00120213 +00200293 +fe5212e3 +00c00193 +00000213 +00000093 +00000013 +00000113 +16209863 +00120213 +00200293 +fe5214e3 +00d00193 +00000213 +00000093 +00000013 +00000113 +00000013 +14209463 +00120213 +00200293 +fe5212e3 +00e00193 +00000213 +00000093 +00000013 +00000013 +00000113 +12209063 +00120213 +00200293 +fe5212e3 +00f00193 +00000213 +00000093 +00000113 +10209063 +00120213 +00200293 +fe5216e3 +01000193 +00000213 +00000093 +00000113 +00000013 +0c209e63 +00120213 +00200293 +fe5214e3 +01100193 +00000213 +00000093 +00000113 +00000013 +00000013 +0a209a63 +00120213 +00200293 +fe5212e3 +01200193 +00000213 +00000093 +00000013 +00000113 +08209863 +00120213 +00200293 +fe5214e3 +01300193 +00000213 +00000093 +00000013 +00000113 +00000013 +06209463 +00120213 +00200293 +fe5212e3 +01400193 +00000213 +00000093 +00000013 +00000013 +00000113 +04209063 +00120213 +00200293 +fe5212e3 +00100093 +00009a63 +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +01500193 +01d09463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-bne.verilog b/tests/isa/generated/rv32ui-p-bne.verilog old mode 100644 new mode 100755 index a70d927..c357fe3 --- a/tests/isa/generated/rv32ui-p-bne.verilog +++ b/tests/isa/generated/rv32ui-p-bne.verilog @@ -1,56 +1,56 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 -13 01 10 00 63 96 20 00 63 1A 30 2A 63 16 30 00 -E3 9E 20 FE 63 14 30 2A 93 01 30 00 93 00 10 00 -13 01 00 00 63 96 20 00 63 1A 30 28 63 16 30 00 -E3 9E 20 FE 63 14 30 28 93 01 40 00 93 00 F0 FF -13 01 10 00 63 96 20 00 63 1A 30 26 63 16 30 00 -E3 9E 20 FE 63 14 30 26 93 01 50 00 93 00 10 00 -13 01 F0 FF 63 96 20 00 63 1A 30 24 63 16 30 00 -E3 9E 20 FE 63 14 30 24 93 01 60 00 93 00 00 00 -13 01 00 00 63 94 20 00 63 14 30 00 63 18 30 22 -E3 9E 20 FE 93 01 70 00 93 00 10 00 13 01 10 00 -63 94 20 00 63 14 30 00 63 1A 30 20 E3 9E 20 FE -93 01 80 00 93 00 F0 FF 13 01 F0 FF 63 94 20 00 -63 14 30 00 63 1C 30 1E E3 9E 20 FE 93 01 90 00 -13 02 00 00 93 00 00 00 13 01 00 00 63 90 20 1E -13 02 12 00 93 02 20 00 E3 16 52 FE 93 01 A0 00 -13 02 00 00 93 00 00 00 13 01 00 00 13 00 00 00 -63 9E 20 1A 13 02 12 00 93 02 20 00 E3 14 52 FE -93 01 B0 00 13 02 00 00 93 00 00 00 13 01 00 00 -13 00 00 00 13 00 00 00 63 9A 20 18 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 C0 00 13 02 00 00 -93 00 00 00 13 00 00 00 13 01 00 00 63 98 20 16 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 D0 00 -13 02 00 00 93 00 00 00 13 00 00 00 13 01 00 00 -13 00 00 00 63 94 20 14 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 E0 00 13 02 00 00 93 00 00 00 -13 00 00 00 13 00 00 00 13 01 00 00 63 90 20 12 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 F0 00 -13 02 00 00 93 00 00 00 13 01 00 00 63 90 20 10 -13 02 12 00 93 02 20 00 E3 16 52 FE 93 01 00 01 -13 02 00 00 93 00 00 00 13 01 00 00 13 00 00 00 -63 9E 20 0C 13 02 12 00 93 02 20 00 E3 14 52 FE -93 01 10 01 13 02 00 00 93 00 00 00 13 01 00 00 -13 00 00 00 13 00 00 00 63 9A 20 0A 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 20 01 13 02 00 00 -93 00 00 00 13 00 00 00 13 01 00 00 63 98 20 08 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 30 01 -13 02 00 00 93 00 00 00 13 00 00 00 13 01 00 00 -13 00 00 00 63 94 20 06 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 40 01 13 02 00 00 93 00 00 00 -13 00 00 00 13 00 00 00 13 01 00 00 63 90 20 04 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 00 10 00 -63 9A 00 00 93 80 10 00 93 80 10 00 93 80 10 00 -93 80 10 00 93 80 10 00 93 80 10 00 93 0E 30 00 -93 01 50 01 63 94 D0 01 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000340 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 +13 01 10 00 63 96 20 00 63 1A 30 2A 63 16 30 00 +E3 9E 20 FE 63 14 30 2A 93 01 30 00 93 00 10 00 +13 01 00 00 63 96 20 00 63 1A 30 28 63 16 30 00 +E3 9E 20 FE 63 14 30 28 93 01 40 00 93 00 F0 FF +13 01 10 00 63 96 20 00 63 1A 30 26 63 16 30 00 +E3 9E 20 FE 63 14 30 26 93 01 50 00 93 00 10 00 +13 01 F0 FF 63 96 20 00 63 1A 30 24 63 16 30 00 +E3 9E 20 FE 63 14 30 24 93 01 60 00 93 00 00 00 +13 01 00 00 63 94 20 00 63 14 30 00 63 18 30 22 +E3 9E 20 FE 93 01 70 00 93 00 10 00 13 01 10 00 +63 94 20 00 63 14 30 00 63 1A 30 20 E3 9E 20 FE +93 01 80 00 93 00 F0 FF 13 01 F0 FF 63 94 20 00 +63 14 30 00 63 1C 30 1E E3 9E 20 FE 93 01 90 00 +13 02 00 00 93 00 00 00 13 01 00 00 63 90 20 1E +13 02 12 00 93 02 20 00 E3 16 52 FE 93 01 A0 00 +13 02 00 00 93 00 00 00 13 01 00 00 13 00 00 00 +63 9E 20 1A 13 02 12 00 93 02 20 00 E3 14 52 FE +93 01 B0 00 13 02 00 00 93 00 00 00 13 01 00 00 +13 00 00 00 13 00 00 00 63 9A 20 18 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 01 C0 00 13 02 00 00 +93 00 00 00 13 00 00 00 13 01 00 00 63 98 20 16 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 D0 00 +13 02 00 00 93 00 00 00 13 00 00 00 13 01 00 00 +13 00 00 00 63 94 20 14 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 E0 00 13 02 00 00 93 00 00 00 +13 00 00 00 13 00 00 00 13 01 00 00 63 90 20 12 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 F0 00 +13 02 00 00 93 00 00 00 13 01 00 00 63 90 20 10 +13 02 12 00 93 02 20 00 E3 16 52 FE 93 01 00 01 +13 02 00 00 93 00 00 00 13 01 00 00 13 00 00 00 +63 9E 20 0C 13 02 12 00 93 02 20 00 E3 14 52 FE +93 01 10 01 13 02 00 00 93 00 00 00 13 01 00 00 +13 00 00 00 13 00 00 00 63 9A 20 0A 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 01 20 01 13 02 00 00 +93 00 00 00 13 00 00 00 13 01 00 00 63 98 20 08 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 30 01 +13 02 00 00 93 00 00 00 13 00 00 00 13 01 00 00 +13 00 00 00 63 94 20 06 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 40 01 13 02 00 00 93 00 00 00 +13 00 00 00 13 00 00 00 13 01 00 00 63 90 20 04 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 00 10 00 +63 9A 00 00 93 80 10 00 93 80 10 00 93 80 10 00 +93 80 10 00 93 80 10 00 93 80 10 00 93 0E 30 00 +93 01 50 01 63 94 D0 01 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000340 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-fence_i b/tests/isa/generated/rv32ui-p-fence_i old mode 100644 new mode 100755 index 4857f80eee60b9d14d6740a23e76be68cf8a8265..8f4fd92fad34857c4525ab145954abd7f58ebb17 GIT binary patch delta 486 zcmeBhKjA(>LAXPS0SrtS6d0HoG#EG-*f$z46%d`w%fKMaE5MKsl${Ku!R(EV!u*pI zIwTz>fucp3#mQw1jQl_*Yf+i8QKnIW!Nf-S$x9Se>cK`r31$Ws21X?5_AdndW;{sKy0NFQ?_!2<&5hT7Iko^RSFArotK=A7sG=ZEq2muCTAX@-xp#adM zjvzTCejr>eNSG1qm=3r+Geay;z5$7!2IM;+@fnhn)3Qne64Uka88Y)G-&UL~s0R)p zy~L7|qRgbylGNgfjarjuC{?(c0QE96FciS7>`-7}kb-f5G%T<{G!v5e5(NeZ1E3%q fP>gr7uCg@i6-5Sy+{wPm$`X#iXmmqT3o`=%>S;Ed delta 419 zcmX@%-r+t$LAXGP0SrtS6d0HoG#Jnu?YM}to`Dq|YBz_rOF-Vw^p%%#RfXg#8Gy(YyNc?Uf-vNow z;A|BWTAW%`9OL8Z=;!WTXBgvHP~ehSk{IKYpDd7=6BCk}TM(0+Y!PLc=;S^5uHuY| zO`4Q6lr$j?8Ck!6xV2LP_~2;Tqz delta 38 pcmeBB>`vT3BR~HnMwUqe9RRB{2;Tqz diff --git a/tests/isa/generated/rv32ui-p-fence_i.dump b/tests/isa/generated/rv32ui-p-fence_i.dump index 52c6dd7..4195690 100644 --- a/tests/isa/generated/rv32ui-p-fence_i.dump +++ b/tests/isa/generated/rv32ui-p-fence_i.dump @@ -65,15 +65,15 @@ Disassembly of section .text.init: d4: 00301863 bne zero,gp,e4 000000d8 : - d8: 00100d13 li s10,1 - dc: 00000d93 li s11,0 + d8: 00000d93 li s11,0 + dc: 00100d13 li s10,1 000000e0 : e0: 0000006f j e0 000000e4 : - e4: 00100d13 li s10,1 - e8: 00100d93 li s11,1 + e4: 00100d93 li s11,1 + e8: 00100d13 li s10,1 000000ec : ec: 0000006f j ec @@ -92,3 +92,18 @@ Disassembly of section .tohost: 00001080 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 <_start+0x14> + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-fence_i.mem b/tests/isa/generated/rv32ui-p-fence_i.mem new file mode 100644 index 0000000..1ae79af --- /dev/null +++ b/tests/isa/generated/rv32ui-p-fence_i.mem @@ -0,0 +1,1058 @@ +00000d13 +00000d93 +06f00693 +00001517 +ff451503 +00001597 +fee59583 +00000013 +00000013 +00000013 +00000013 +00000013 +00000013 +00000013 +00000013 +00000013 +00000297 +00a29a23 +00000297 +00b29723 +0000100f +0de68693 +00000013 +1bc00e93 +00200193 +07d69a63 +06400713 +fff70713 +fe071ee3 +00000297 +04a29623 +00000297 +04b29323 +0000100f +00000013 +00000013 +00000013 +00000013 +00000013 +00000013 +00000013 +00000013 +00000013 +00000013 +00000013 +00000013 +00000013 +00000013 +22b68693 +00000013 +30900e93 +00300193 +01d69463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +14d68693 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-fence_i.verilog b/tests/isa/generated/rv32ui-p-fence_i.verilog old mode 100644 new mode 100755 index 0f09e58..b97f6c6 --- a/tests/isa/generated/rv32ui-p-fence_i.verilog +++ b/tests/isa/generated/rv32ui-p-fence_i.verilog @@ -1,28 +1,28 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 06 F0 06 17 15 00 00 -03 15 45 FF 97 15 00 00 83 95 E5 FE 13 00 00 00 -13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 -13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 -97 02 00 00 23 9A A2 00 97 02 00 00 23 97 B2 00 -0F 10 00 00 93 86 E6 0D 13 00 00 00 93 0E C0 1B -93 01 20 00 63 9A D6 07 13 07 40 06 13 07 F7 FF -E3 1E 07 FE 97 02 00 00 23 96 A2 04 97 02 00 00 -23 93 B2 04 0F 10 00 00 13 00 00 00 13 00 00 00 -13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 -13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 -13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 -93 86 B6 22 13 00 00 00 93 0E 90 30 93 01 30 00 -63 94 D6 01 63 18 30 00 13 0D 10 00 93 0D 00 00 -6F 00 00 00 13 0D 10 00 93 0D 10 00 6F 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 -@00001000 -93 86 D6 14 00 00 00 00 00 00 00 00 00 00 00 00 -@00001040 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 06 F0 06 17 15 00 00 +03 15 45 FF 97 15 00 00 83 95 E5 FE 13 00 00 00 +13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 +13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 +97 02 00 00 23 9A A2 00 97 02 00 00 23 97 B2 00 +0F 10 00 00 93 86 E6 0D 13 00 00 00 93 0E C0 1B +93 01 20 00 63 9A D6 07 13 07 40 06 13 07 F7 FF +E3 1E 07 FE 97 02 00 00 23 96 A2 04 97 02 00 00 +23 93 B2 04 0F 10 00 00 13 00 00 00 13 00 00 00 +13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 +13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 +13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 +93 86 B6 22 13 00 00 00 93 0E 90 30 93 01 30 00 +63 94 D6 01 63 18 30 00 93 0D 00 00 13 0D 10 00 +6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 +@00001000 +93 86 D6 14 00 00 00 00 00 00 00 00 00 00 00 00 +@00001040 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-jal b/tests/isa/generated/rv32ui-p-jal old mode 100644 new mode 100755 index af50f625aa18aa28ee2a530fcefd3c4be2f708dd..908f7304e84f8a1e902c04a3046f33d35c9b0272 GIT binary patch delta 494 zcmaE%zCvSyg0O%v0~nYvC@?TGXfUudux&Kf6cC-v%fKMaE5MKsl${Ku!R(2N((^R_4>BRH9tfkBv8fFYlOfnhR`7M_?p8vsw81+4%8 delta 27 fcmeBR>R_4>BPh%(z%ZGYfgzuPfdR~(m^&K)OWg&n diff --git a/tests/isa/generated/rv32ui-p-jal.dump b/tests/isa/generated/rv32ui-p-jal.dump index e2be4a2..ee5a750 100644 --- a/tests/isa/generated/rv32ui-p-jal.dump +++ b/tests/isa/generated/rv32ui-p-jal.dump @@ -38,15 +38,15 @@ Disassembly of section .text.init: 58: 00301863 bne zero,gp,68 0000005c : - 5c: 00100d13 li s10,1 - 60: 00000d93 li s11,0 + 5c: 00000d93 li s11,0 + 60: 00100d13 li s10,1 00000064 : 64: 0000006f j 64 00000068 : - 68: 00100d13 li s10,1 - 6c: 00100d93 li s11,1 + 68: 00100d93 li s11,1 + 6c: 00100d13 li s10,1 00000070 : 70: 0000006f j 70 @@ -59,3 +59,18 @@ Disassembly of section .tohost: 00000100 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-jal.mem b/tests/isa/generated/rv32ui-p-jal.mem new file mode 100644 index 0000000..3c49879 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-jal.mem @@ -0,0 +1,66 @@ +00000d13 +00000d93 +00200193 +00000093 +0100026f +00000013 +00000013 +0400006f +00000117 +ff410113 +02411a63 +00100093 +0140006f +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +00300193 +01d09463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-jal.verilog b/tests/isa/generated/rv32ui-p-jal.verilog old mode 100644 new mode 100755 index 729fd9a..98d8cad --- a/tests/isa/generated/rv32ui-p-jal.verilog +++ b/tests/isa/generated/rv32ui-p-jal.verilog @@ -1,16 +1,16 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 -6F 02 00 01 13 00 00 00 13 00 00 00 6F 00 00 04 -17 01 00 00 13 01 41 FF 63 1A 41 02 93 00 10 00 -6F 00 40 01 93 80 10 00 93 80 10 00 93 80 10 00 -93 80 10 00 93 80 10 00 93 80 10 00 93 0E 30 00 -93 01 30 00 63 94 D0 01 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@000000C0 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 +6F 02 00 01 13 00 00 00 13 00 00 00 6F 00 00 04 +17 01 00 00 13 01 41 FF 63 1A 41 02 93 00 10 00 +6F 00 40 01 93 80 10 00 93 80 10 00 93 80 10 00 +93 80 10 00 93 80 10 00 93 80 10 00 93 0E 30 00 +93 01 30 00 63 94 D0 01 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@000000C0 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-jalr b/tests/isa/generated/rv32ui-p-jalr old mode 100644 new mode 100755 index cc7f4ac4ae920490b47c704572a2e024ad1e3fb6..ca79a81b20fec79434c165ed08c76022ccbfec69 GIT binary patch delta 501 zcmZ3Z`9X7ng76Jt1~4#TP+(wW&|qL^VB2WCQb2SvF9U-xuK+_nP)iM>#D3 delta 485 zcmeyMxkht>g76+;1~4#TP+(wW&|qL=VBKiEQb1IgSAbzMF9Sn9P!_@#V91}CxOwsc zL6Le!h#oM>!tn9GA&|uZ7h`0w0J0U3_>Mrf3=%&V$i9Qb_W`nXkodtsb^sDT3dpWN z@aq{8ft)1>0furQ`vnp|1IT`Y#4iG}KOpgIKx_esfB*v%Ll+u<7DNh63IM}pA&}L< z2oV%u2w-AZ24pwD1(+Dt1Nja}dfU6$ASWv#FE4qpZw&+ zoS2Z*+=7_oWdEq*s7%+%yu#ZiCTUC(37A|VBB0wL2=qSCFczSp{6Gw%L5}4FVrC$Q bfg3^$4BIAe6;YPx1ID5rOc+Q*UBUnWKI=Df diff --git a/tests/isa/generated/rv32ui-p-jalr.bin b/tests/isa/generated/rv32ui-p-jalr.bin old mode 100644 new mode 100755 index 9f97c6796f6b6608f7dceaedb68ac7080c3dc024..d222d5acd3f0f4bf113f0511192d2105786323ff GIT binary patch delta 27 hcmeBR?qHs9M{qJP1A{QH07E_l1H)t>Ej;n 000000dc : - dc: 00100d13 li s10,1 - e0: 00000d93 li s11,0 + dc: 00000d93 li s11,0 + e0: 00100d13 li s10,1 000000e4 : e4: 0000006f j e4 000000e8 : - e8: 00100d13 li s10,1 - ec: 00100d93 li s11,1 + e8: 00100d93 li s11,1 + ec: 00100d13 li s10,1 000000f0 : f0: 0000006f j f0 @@ -97,3 +97,18 @@ Disassembly of section .tohost: 00000180 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-jalr.mem b/tests/isa/generated/rv32ui-p-jalr.mem new file mode 100644 index 0000000..4bcbbeb --- /dev/null +++ b/tests/isa/generated/rv32ui-p-jalr.mem @@ -0,0 +1,98 @@ +00000d13 +00000d93 +00200193 +00000293 +00000317 +01030313 +000302e7 +0c00006f +00000317 +ffc30313 +0a629a63 +00400193 +00000213 +00000317 +01030313 +000309e7 +08301e63 +00120213 +00200293 +fe5214e3 +00500193 +00000213 +00000317 +01430313 +00000013 +000309e7 +06301a63 +00120213 +00200293 +fe5212e3 +00600193 +00000213 +00000317 +01830313 +00000013 +00000013 +000309e7 +04301463 +00120213 +00200293 +fe5210e3 +00100293 +00000317 +01c30313 +ffc30067 +00128293 +00128293 +00128293 +00128293 +00128293 +00128293 +00400e93 +00700193 +01d29463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-jalr.verilog b/tests/isa/generated/rv32ui-p-jalr.verilog old mode 100644 new mode 100755 index 8819d6c..69c9617 --- a/tests/isa/generated/rv32ui-p-jalr.verilog +++ b/tests/isa/generated/rv32ui-p-jalr.verilog @@ -1,24 +1,24 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 01 20 00 93 02 00 00 -17 03 00 00 13 03 03 01 E7 02 03 00 6F 00 00 0C -17 03 00 00 13 03 C3 FF 63 9A 62 0A 93 01 40 00 -13 02 00 00 17 03 00 00 13 03 03 01 E7 09 03 00 -63 1E 30 08 13 02 12 00 93 02 20 00 E3 14 52 FE -93 01 50 00 13 02 00 00 17 03 00 00 13 03 43 01 -13 00 00 00 E7 09 03 00 63 1A 30 06 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 60 00 13 02 00 00 -17 03 00 00 13 03 83 01 13 00 00 00 13 00 00 00 -E7 09 03 00 63 14 30 04 13 02 12 00 93 02 20 00 -E3 10 52 FE 93 02 10 00 17 03 00 00 13 03 C3 01 -67 00 C3 FF 93 82 12 00 93 82 12 00 93 82 12 00 -93 82 12 00 93 82 12 00 93 82 12 00 93 0E 40 00 -93 01 70 00 63 94 D2 01 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000140 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 01 20 00 93 02 00 00 +17 03 00 00 13 03 03 01 E7 02 03 00 6F 00 00 0C +17 03 00 00 13 03 C3 FF 63 9A 62 0A 93 01 40 00 +13 02 00 00 17 03 00 00 13 03 03 01 E7 09 03 00 +63 1E 30 08 13 02 12 00 93 02 20 00 E3 14 52 FE +93 01 50 00 13 02 00 00 17 03 00 00 13 03 43 01 +13 00 00 00 E7 09 03 00 63 1A 30 06 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 01 60 00 13 02 00 00 +17 03 00 00 13 03 83 01 13 00 00 00 13 00 00 00 +E7 09 03 00 63 14 30 04 13 02 12 00 93 02 20 00 +E3 10 52 FE 93 02 10 00 17 03 00 00 13 03 C3 01 +67 00 C3 FF 93 82 12 00 93 82 12 00 93 82 12 00 +93 82 12 00 93 82 12 00 93 82 12 00 93 0E 40 00 +93 01 70 00 63 94 D2 01 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000140 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-lb b/tests/isa/generated/rv32ui-p-lb old mode 100644 new mode 100755 index 0c309ec79e766d5d3d385e1d13c68a1b03c27b7f..7d740e2a833db31fe58c827ddbc2f4042d1f32c3 GIT binary patch delta 806 zcmYk*KS%;m90%~oyNOh{LI>#TxSF|Ex^SOshO>5~)U3L_wwp#8p{XA}^vQh}nF|6U;-%(S{2&3M3i@8b@}p1t#Gl z>(3xxGA|(CF)t&(GOr!}~7(h}0f3pRdqnHk(dv<+9tEN-3x(0!MS^UrCg*)}pdT s)FXhaI0WNr?;p9U;U*MZ$o=|Xpx5Q`6V*xY8yxVecn#*!5JODz4|>^0&Hw-a delta 716 zcmYk)F-QVY7zgn0ooNmoU1?JZmz^NdkYx}-pd>^o5oUvMP$q^$rldndq=kb+gL*>| z4UJ8a1kn^t(bO10OM^kQwYAm%)H~k|cmLn_zIX4v<1WH)%T?JCP^fkJNhX23)JwL; z^yuJ_LzGApiLw}NXNj_nqf@aiS8CM}?NL^WtUa}L@1dVRACldyF`Cu0WE2E?M~n%E z8O%18pOpan2y$a4sM}b3Q-p4PUX3gTR~EyEJ~f!jg%X8?8p$RTJ8CSI$*IZY+HO2PGj;148)vm+uU1y}HN_)| g6}f#LE4C$TLC>)5%T1&(ZOh}f_9;YuZNTIB2c=<1?EnA( diff --git a/tests/isa/generated/rv32ui-p-lb.bin b/tests/isa/generated/rv32ui-p-lb.bin old mode 100644 new mode 100755 index cb190212df49e3afc042549802634b4d67865064..05f497e3ed8b8b86e3966c8993cad205eca339d5 GIT binary patch delta 41 scmeBB>`>eg!X!GGmw`c;SAZd(fq`K%kOs3i7c%wpPHtf0m?Y2v0JQ801poj5 delta 41 scmeBB>`>eg!XzrpE5I 00000254 : - 254: 00100d13 li s10,1 - 258: 00000d93 li s11,0 + 254: 00000d93 li s11,0 + 258: 00100d13 li s10,1 0000025c : 25c: 0000006f j 25c 00000260 : - 260: 00100d13 li s10,1 - 264: 00100d93 li s11,1 + 260: 00100d93 li s11,1 + 264: 00100d13 li s10,1 00000268 : 268: 0000006f j 268 @@ -228,3 +228,18 @@ Disassembly of section .tohost: 00001080 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-lb.mem b/tests/isa/generated/rv32ui-p-lb.mem new file mode 100644 index 0000000..0647a7e --- /dev/null +++ b/tests/isa/generated/rv32ui-p-lb.mem @@ -0,0 +1,1058 @@ +00000d13 +00000d93 +00001097 +ff808093 +00008f03 +fff00e93 +00200193 +23df1c63 +00001097 +fe008093 +00108f03 +00000e93 +00300193 +23df1063 +00001097 +fc808093 +00208f03 +ff000e93 +00400193 +21df1463 +00001097 +fb008093 +00308f03 +00f00e93 +00500193 +1fdf1863 +00001097 +f9b08093 +ffd08f03 +fff00e93 +00600193 +1ddf1c63 +00001097 +f8308093 +ffe08f03 +00000e93 +00700193 +1ddf1063 +00001097 +f6b08093 +fff08f03 +ff000e93 +00800193 +1bdf1463 +00001097 +f5308093 +00008f03 +00f00e93 +00900193 +19df1863 +00001097 +f3808093 +fe008093 +02008283 +fff00e93 +00a00193 +17d29a63 +00001097 +f1c08093 +ffa08093 +00708283 +00000e93 +00b00193 +15d29c63 +00c00193 +00000213 +00001097 +ef908093 +00108f03 +000f0313 +ff000e93 +13d31c63 +00120213 +00200293 +fe5210e3 +00d00193 +00000213 +00001097 +ece08093 +00108f03 +00000013 +000f0313 +00f00e93 +11d31463 +00120213 +00200293 +fc521ee3 +00e00193 +00000213 +00001097 +e9c08093 +00108f03 +00000013 +00000013 +000f0313 +00000e93 +0dd31a63 +00120213 +00200293 +fc521ce3 +00f00193 +00000213 +00001097 +e6908093 +00108f03 +ff000e93 +0bdf1663 +00120213 +00200293 +fe5212e3 +01000193 +00000213 +00001097 +e4208093 +00000013 +00108f03 +00f00e93 +09df1063 +00120213 +00200293 +fe5210e3 +01100193 +00000213 +00001097 +e1408093 +00000013 +00000013 +00108f03 +00000e93 +05df1863 +00120213 +00200293 +fc521ee3 +00001297 +dec28293 +00028103 +00200113 +00200e93 +01200193 +03d11463 +00001297 +dd028293 +00028103 +00000013 +00200113 +00200e93 +01300193 +01d11463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +0ff000ff +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-lb.verilog b/tests/isa/generated/rv32ui-p-lb.verilog old mode 100644 new mode 100755 index b899467..2c0ca55 --- a/tests/isa/generated/rv32ui-p-lb.verilog +++ b/tests/isa/generated/rv32ui-p-lb.verilog @@ -1,50 +1,50 @@ -@00000000 -13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF -03 8F 00 00 93 0E F0 FF 93 01 20 00 63 1C DF 23 -97 10 00 00 93 80 00 FE 03 8F 10 00 93 0E 00 00 -93 01 30 00 63 10 DF 23 97 10 00 00 93 80 80 FC -03 8F 20 00 93 0E 00 FF 93 01 40 00 63 14 DF 21 -97 10 00 00 93 80 00 FB 03 8F 30 00 93 0E F0 00 -93 01 50 00 63 18 DF 1F 97 10 00 00 93 80 B0 F9 -03 8F D0 FF 93 0E F0 FF 93 01 60 00 63 1C DF 1D -97 10 00 00 93 80 30 F8 03 8F E0 FF 93 0E 00 00 -93 01 70 00 63 10 DF 1D 97 10 00 00 93 80 B0 F6 -03 8F F0 FF 93 0E 00 FF 93 01 80 00 63 14 DF 1B -97 10 00 00 93 80 30 F5 03 8F 00 00 93 0E F0 00 -93 01 90 00 63 18 DF 19 97 10 00 00 93 80 80 F3 -93 80 00 FE 83 82 00 02 93 0E F0 FF 93 01 A0 00 -63 9A D2 17 97 10 00 00 93 80 C0 F1 93 80 A0 FF -83 82 70 00 93 0E 00 00 93 01 B0 00 63 9C D2 15 -93 01 C0 00 13 02 00 00 97 10 00 00 93 80 90 EF -03 8F 10 00 13 03 0F 00 93 0E 00 FF 63 1C D3 13 -13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 D0 00 -13 02 00 00 97 10 00 00 93 80 E0 EC 03 8F 10 00 -13 00 00 00 13 03 0F 00 93 0E F0 00 63 14 D3 11 -13 02 12 00 93 02 20 00 E3 1E 52 FC 93 01 E0 00 -13 02 00 00 97 10 00 00 93 80 C0 E9 03 8F 10 00 -13 00 00 00 13 00 00 00 13 03 0F 00 93 0E 00 00 -63 1A D3 0D 13 02 12 00 93 02 20 00 E3 1C 52 FC -93 01 F0 00 13 02 00 00 97 10 00 00 93 80 90 E6 -03 8F 10 00 93 0E 00 FF 63 16 DF 0B 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 00 01 13 02 00 00 -97 10 00 00 93 80 20 E4 13 00 00 00 03 8F 10 00 -93 0E F0 00 63 10 DF 09 13 02 12 00 93 02 20 00 -E3 10 52 FE 93 01 10 01 13 02 00 00 97 10 00 00 -93 80 40 E1 13 00 00 00 13 00 00 00 03 8F 10 00 -93 0E 00 00 63 18 DF 05 13 02 12 00 93 02 20 00 -E3 1E 52 FC 97 12 00 00 93 82 C2 DE 03 81 02 00 -13 01 20 00 93 0E 20 00 93 01 20 01 63 14 D1 03 -97 12 00 00 93 82 02 DD 03 81 02 00 13 00 00 00 -13 01 20 00 93 0E 20 00 93 01 30 01 63 14 D1 01 -63 18 30 00 13 0D 10 00 93 0D 00 00 6F 00 00 00 -13 0D 10 00 93 0D 10 00 6F 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00001000 -FF 00 F0 0F 00 00 00 00 00 00 00 00 00 00 00 00 -@00001040 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF +03 8F 00 00 93 0E F0 FF 93 01 20 00 63 1C DF 23 +97 10 00 00 93 80 00 FE 03 8F 10 00 93 0E 00 00 +93 01 30 00 63 10 DF 23 97 10 00 00 93 80 80 FC +03 8F 20 00 93 0E 00 FF 93 01 40 00 63 14 DF 21 +97 10 00 00 93 80 00 FB 03 8F 30 00 93 0E F0 00 +93 01 50 00 63 18 DF 1F 97 10 00 00 93 80 B0 F9 +03 8F D0 FF 93 0E F0 FF 93 01 60 00 63 1C DF 1D +97 10 00 00 93 80 30 F8 03 8F E0 FF 93 0E 00 00 +93 01 70 00 63 10 DF 1D 97 10 00 00 93 80 B0 F6 +03 8F F0 FF 93 0E 00 FF 93 01 80 00 63 14 DF 1B +97 10 00 00 93 80 30 F5 03 8F 00 00 93 0E F0 00 +93 01 90 00 63 18 DF 19 97 10 00 00 93 80 80 F3 +93 80 00 FE 83 82 00 02 93 0E F0 FF 93 01 A0 00 +63 9A D2 17 97 10 00 00 93 80 C0 F1 93 80 A0 FF +83 82 70 00 93 0E 00 00 93 01 B0 00 63 9C D2 15 +93 01 C0 00 13 02 00 00 97 10 00 00 93 80 90 EF +03 8F 10 00 13 03 0F 00 93 0E 00 FF 63 1C D3 13 +13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 D0 00 +13 02 00 00 97 10 00 00 93 80 E0 EC 03 8F 10 00 +13 00 00 00 13 03 0F 00 93 0E F0 00 63 14 D3 11 +13 02 12 00 93 02 20 00 E3 1E 52 FC 93 01 E0 00 +13 02 00 00 97 10 00 00 93 80 C0 E9 03 8F 10 00 +13 00 00 00 13 00 00 00 13 03 0F 00 93 0E 00 00 +63 1A D3 0D 13 02 12 00 93 02 20 00 E3 1C 52 FC +93 01 F0 00 13 02 00 00 97 10 00 00 93 80 90 E6 +03 8F 10 00 93 0E 00 FF 63 16 DF 0B 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 01 00 01 13 02 00 00 +97 10 00 00 93 80 20 E4 13 00 00 00 03 8F 10 00 +93 0E F0 00 63 10 DF 09 13 02 12 00 93 02 20 00 +E3 10 52 FE 93 01 10 01 13 02 00 00 97 10 00 00 +93 80 40 E1 13 00 00 00 13 00 00 00 03 8F 10 00 +93 0E 00 00 63 18 DF 05 13 02 12 00 93 02 20 00 +E3 1E 52 FC 97 12 00 00 93 82 C2 DE 03 81 02 00 +13 01 20 00 93 0E 20 00 93 01 20 01 63 14 D1 03 +97 12 00 00 93 82 02 DD 03 81 02 00 13 00 00 00 +13 01 20 00 93 0E 20 00 93 01 30 01 63 14 D1 01 +63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00 +93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00001000 +FF 00 F0 0F 00 00 00 00 00 00 00 00 00 00 00 00 +@00001040 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-lbu b/tests/isa/generated/rv32ui-p-lbu old mode 100644 new mode 100755 index a0e07c8889da602f8372ca8a09dc49155ee77774..1248244b9578aba140968b11fd79ab3b17155030 GIT binary patch delta 806 zcmYk*KS%;m90%~AX;Dp123qTsogDku-?dmn%gh=}0!*sCu=g8Yml6c0a6S zBZ(Dk^wSxD9cE1;MbEM*Sm+UP%OWh18&MU+Y+mGf=4s^3p35``qvMkY&Znoet95lZmQ4zMV{wbVb{WF-K$T?VN{RaHR zd=0mS%h<{-3NChpcW{{b1>R$B!3pMPIK})5%iRsjcvRkDu|eIRy1Qdh#se6E`3le9OfI^o5n_XJP$ou0rldndbQTT{4eAX= zG&D9v5=2upMN?x0Ee!_I*49@4Q}28?-2H#w``*3xj=PAyEmb9VNT${mB#8v_Q!hCh z)1!k!ZkI^9iztWDb`~kuI68IJrAn@|^z&c#&HqaLG8RsnW4d){AGv@>3Cg-w| zty3~OF=(x)2~`>eg!X!GGmw`c;SAZd(fq`K%kOs3i7c%wpPHtf0m?Y2v0JQ801poj5 delta 41 scmeBB>`>eg!XzrpE5I 00000254 : - 254: 00100d13 li s10,1 - 258: 00000d93 li s11,0 + 254: 00000d93 li s11,0 + 258: 00100d13 li s10,1 0000025c : 25c: 0000006f j 25c 00000260 : - 260: 00100d13 li s10,1 - 264: 00100d93 li s11,1 + 260: 00100d93 li s11,1 + 264: 00100d13 li s10,1 00000268 : 268: 0000006f j 268 @@ -228,3 +228,18 @@ Disassembly of section .tohost: 00001080 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-lbu.mem b/tests/isa/generated/rv32ui-p-lbu.mem new file mode 100644 index 0000000..1578167 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-lbu.mem @@ -0,0 +1,1058 @@ +00000d13 +00000d93 +00001097 +ff808093 +0000cf03 +0ff00e93 +00200193 +23df1c63 +00001097 +fe008093 +0010cf03 +00000e93 +00300193 +23df1063 +00001097 +fc808093 +0020cf03 +0f000e93 +00400193 +21df1463 +00001097 +fb008093 +0030cf03 +00f00e93 +00500193 +1fdf1863 +00001097 +f9b08093 +ffd0cf03 +0ff00e93 +00600193 +1ddf1c63 +00001097 +f8308093 +ffe0cf03 +00000e93 +00700193 +1ddf1063 +00001097 +f6b08093 +fff0cf03 +0f000e93 +00800193 +1bdf1463 +00001097 +f5308093 +0000cf03 +00f00e93 +00900193 +19df1863 +00001097 +f3808093 +fe008093 +0200c283 +0ff00e93 +00a00193 +17d29a63 +00001097 +f1c08093 +ffa08093 +0070c283 +00000e93 +00b00193 +15d29c63 +00c00193 +00000213 +00001097 +ef908093 +0010cf03 +000f0313 +0f000e93 +13d31c63 +00120213 +00200293 +fe5210e3 +00d00193 +00000213 +00001097 +ece08093 +0010cf03 +00000013 +000f0313 +00f00e93 +11d31463 +00120213 +00200293 +fc521ee3 +00e00193 +00000213 +00001097 +e9c08093 +0010cf03 +00000013 +00000013 +000f0313 +00000e93 +0dd31a63 +00120213 +00200293 +fc521ce3 +00f00193 +00000213 +00001097 +e6908093 +0010cf03 +0f000e93 +0bdf1663 +00120213 +00200293 +fe5212e3 +01000193 +00000213 +00001097 +e4208093 +00000013 +0010cf03 +00f00e93 +09df1063 +00120213 +00200293 +fe5210e3 +01100193 +00000213 +00001097 +e1408093 +00000013 +00000013 +0010cf03 +00000e93 +05df1863 +00120213 +00200293 +fc521ee3 +00001297 +dec28293 +0002c103 +00200113 +00200e93 +01200193 +03d11463 +00001297 +dd028293 +0002c103 +00000013 +00200113 +00200e93 +01300193 +01d11463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +0ff000ff +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-lbu.verilog b/tests/isa/generated/rv32ui-p-lbu.verilog old mode 100644 new mode 100755 index 23d1169..4697c5b --- a/tests/isa/generated/rv32ui-p-lbu.verilog +++ b/tests/isa/generated/rv32ui-p-lbu.verilog @@ -1,50 +1,50 @@ -@00000000 -13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF -03 CF 00 00 93 0E F0 0F 93 01 20 00 63 1C DF 23 -97 10 00 00 93 80 00 FE 03 CF 10 00 93 0E 00 00 -93 01 30 00 63 10 DF 23 97 10 00 00 93 80 80 FC -03 CF 20 00 93 0E 00 0F 93 01 40 00 63 14 DF 21 -97 10 00 00 93 80 00 FB 03 CF 30 00 93 0E F0 00 -93 01 50 00 63 18 DF 1F 97 10 00 00 93 80 B0 F9 -03 CF D0 FF 93 0E F0 0F 93 01 60 00 63 1C DF 1D -97 10 00 00 93 80 30 F8 03 CF E0 FF 93 0E 00 00 -93 01 70 00 63 10 DF 1D 97 10 00 00 93 80 B0 F6 -03 CF F0 FF 93 0E 00 0F 93 01 80 00 63 14 DF 1B -97 10 00 00 93 80 30 F5 03 CF 00 00 93 0E F0 00 -93 01 90 00 63 18 DF 19 97 10 00 00 93 80 80 F3 -93 80 00 FE 83 C2 00 02 93 0E F0 0F 93 01 A0 00 -63 9A D2 17 97 10 00 00 93 80 C0 F1 93 80 A0 FF -83 C2 70 00 93 0E 00 00 93 01 B0 00 63 9C D2 15 -93 01 C0 00 13 02 00 00 97 10 00 00 93 80 90 EF -03 CF 10 00 13 03 0F 00 93 0E 00 0F 63 1C D3 13 -13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 D0 00 -13 02 00 00 97 10 00 00 93 80 E0 EC 03 CF 10 00 -13 00 00 00 13 03 0F 00 93 0E F0 00 63 14 D3 11 -13 02 12 00 93 02 20 00 E3 1E 52 FC 93 01 E0 00 -13 02 00 00 97 10 00 00 93 80 C0 E9 03 CF 10 00 -13 00 00 00 13 00 00 00 13 03 0F 00 93 0E 00 00 -63 1A D3 0D 13 02 12 00 93 02 20 00 E3 1C 52 FC -93 01 F0 00 13 02 00 00 97 10 00 00 93 80 90 E6 -03 CF 10 00 93 0E 00 0F 63 16 DF 0B 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 00 01 13 02 00 00 -97 10 00 00 93 80 20 E4 13 00 00 00 03 CF 10 00 -93 0E F0 00 63 10 DF 09 13 02 12 00 93 02 20 00 -E3 10 52 FE 93 01 10 01 13 02 00 00 97 10 00 00 -93 80 40 E1 13 00 00 00 13 00 00 00 03 CF 10 00 -93 0E 00 00 63 18 DF 05 13 02 12 00 93 02 20 00 -E3 1E 52 FC 97 12 00 00 93 82 C2 DE 03 C1 02 00 -13 01 20 00 93 0E 20 00 93 01 20 01 63 14 D1 03 -97 12 00 00 93 82 02 DD 03 C1 02 00 13 00 00 00 -13 01 20 00 93 0E 20 00 93 01 30 01 63 14 D1 01 -63 18 30 00 13 0D 10 00 93 0D 00 00 6F 00 00 00 -13 0D 10 00 93 0D 10 00 6F 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00001000 -FF 00 F0 0F 00 00 00 00 00 00 00 00 00 00 00 00 -@00001040 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF +03 CF 00 00 93 0E F0 0F 93 01 20 00 63 1C DF 23 +97 10 00 00 93 80 00 FE 03 CF 10 00 93 0E 00 00 +93 01 30 00 63 10 DF 23 97 10 00 00 93 80 80 FC +03 CF 20 00 93 0E 00 0F 93 01 40 00 63 14 DF 21 +97 10 00 00 93 80 00 FB 03 CF 30 00 93 0E F0 00 +93 01 50 00 63 18 DF 1F 97 10 00 00 93 80 B0 F9 +03 CF D0 FF 93 0E F0 0F 93 01 60 00 63 1C DF 1D +97 10 00 00 93 80 30 F8 03 CF E0 FF 93 0E 00 00 +93 01 70 00 63 10 DF 1D 97 10 00 00 93 80 B0 F6 +03 CF F0 FF 93 0E 00 0F 93 01 80 00 63 14 DF 1B +97 10 00 00 93 80 30 F5 03 CF 00 00 93 0E F0 00 +93 01 90 00 63 18 DF 19 97 10 00 00 93 80 80 F3 +93 80 00 FE 83 C2 00 02 93 0E F0 0F 93 01 A0 00 +63 9A D2 17 97 10 00 00 93 80 C0 F1 93 80 A0 FF +83 C2 70 00 93 0E 00 00 93 01 B0 00 63 9C D2 15 +93 01 C0 00 13 02 00 00 97 10 00 00 93 80 90 EF +03 CF 10 00 13 03 0F 00 93 0E 00 0F 63 1C D3 13 +13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 D0 00 +13 02 00 00 97 10 00 00 93 80 E0 EC 03 CF 10 00 +13 00 00 00 13 03 0F 00 93 0E F0 00 63 14 D3 11 +13 02 12 00 93 02 20 00 E3 1E 52 FC 93 01 E0 00 +13 02 00 00 97 10 00 00 93 80 C0 E9 03 CF 10 00 +13 00 00 00 13 00 00 00 13 03 0F 00 93 0E 00 00 +63 1A D3 0D 13 02 12 00 93 02 20 00 E3 1C 52 FC +93 01 F0 00 13 02 00 00 97 10 00 00 93 80 90 E6 +03 CF 10 00 93 0E 00 0F 63 16 DF 0B 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 01 00 01 13 02 00 00 +97 10 00 00 93 80 20 E4 13 00 00 00 03 CF 10 00 +93 0E F0 00 63 10 DF 09 13 02 12 00 93 02 20 00 +E3 10 52 FE 93 01 10 01 13 02 00 00 97 10 00 00 +93 80 40 E1 13 00 00 00 13 00 00 00 03 CF 10 00 +93 0E 00 00 63 18 DF 05 13 02 12 00 93 02 20 00 +E3 1E 52 FC 97 12 00 00 93 82 C2 DE 03 C1 02 00 +13 01 20 00 93 0E 20 00 93 01 20 01 63 14 D1 03 +97 12 00 00 93 82 02 DD 03 C1 02 00 13 00 00 00 +13 01 20 00 93 0E 20 00 93 01 30 01 63 14 D1 01 +63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00 +93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00001000 +FF 00 F0 0F 00 00 00 00 00 00 00 00 00 00 00 00 +@00001040 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-lh b/tests/isa/generated/rv32ui-p-lh old mode 100644 new mode 100755 index 674ea1bda8846d86af6cf8217cde61bf83ce40f4..735c5923661140ffff85db1795d72a38879b2492 GIT binary patch delta 806 zcmYk*ze@sP7zgm@)XX92$_g#WD=i{Q!XFSpphFQh6cn@sQ4-}4iJoQ@oYHb_*-b%1 zfkTZFwA9ejUr>X4TT28DEn(ji-}89~@B4Wk?|on2JL}2oAIRGnKO6s479NIc4j^ zP7ab-!9m}RF4$$&B=VS976ltUBC0lFiM)u4AZGI;&oYlAueYtyG>~Z*D2nW43(Ubf z>nD(Jn3s^BnOBhO%p1r*%v;E^^(!@r_E4~~1rOl3^#zIYu!HqaV3qaHV16R!;2rB% z;CJRL*db0#!x7!05ETXZ9$saBflJIa_>}nx)|p>nxwT;#ugV)NHfZ|8bZgAZSnw0j ztsCt01(%qA;W#s;Qn6w(ws!n55SWyDLe2Lpw5_Vy^iE#g%~i@%#(uE4U_MGad%C(p tG>DoQ;!w=1wSVNQf}2oqB99nk_SadS~%R$pxzb@ zjZT3CO%Y9vO%Xy%OAu{ALtA}4z4JMo-_P&&?%wYnca`iVnvyRfllcpiL;?kAjNIMD z=+unQE0W5FlEVSTiK4v?=o7m@EdYsfv$RpcMe4P?=N zOGX#4VDDEGsEtB|TW|?G?FE9lxyJQ7=<_FX19rIn9sI)i0d{gH_5_D5*KlOdC~R5< z_yewUeub-?-(j8e7c3437V!c6!q$L&d+cHQWkwUQK3ty`$wAX7)a?g2$PY(2)7px< zRmmS#)YN)(Bc41BsnK#dmesOqs+7wX)J(ouR&%+f?ZQbSe(RrKV6F3@(U6Y~SrNUu gM6`!_T^LI;qROoMGe9IWV_yll#_{cjjY-A#55Ln%w*UYD diff --git a/tests/isa/generated/rv32ui-p-lh.bin b/tests/isa/generated/rv32ui-p-lh.bin old mode 100644 new mode 100755 index 9d856bed47e9732d4ebb908363dd2d433c53e456..b71a882c33b0e424f5b1f11667d8b1e7cee35e9c GIT binary patch delta 41 scmeBB>`>fL!X!GGmw`c;SAZd(fq`K%kOs3iPh`sHoxFgFW0F7z0J>}mMF0Q* delta 41 scmeBB>`>fL!XzrpE5I 00000274 : - 274: 00100d13 li s10,1 - 278: 00000d93 li s11,0 + 274: 00000d93 li s11,0 + 278: 00100d13 li s10,1 0000027c : 27c: 0000006f j 27c 00000280 : - 280: 00100d13 li s10,1 - 284: 00100d93 li s11,1 + 280: 00100d93 li s11,1 + 284: 00100d13 li s10,1 00000288 : 288: 0000006f j 288 @@ -238,3 +238,18 @@ Disassembly of section .tohost: 00001080 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-lh.mem b/tests/isa/generated/rv32ui-p-lh.mem new file mode 100644 index 0000000..b086ee9 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-lh.mem @@ -0,0 +1,1058 @@ +00000d13 +00000d93 +00001097 +ff808093 +00009f03 +0ff00e93 +00200193 +25df1c63 +00001097 +fe008093 +00209f03 +f0000e93 +00300193 +25df1063 +00001097 +fc808093 +00409f03 +00001eb7 +ff0e8e93 +00400193 +23df1263 +00001097 +fac08093 +00609f03 +fffffeb7 +00fe8e93 +00500193 +21df1463 +00001097 +f9608093 +ffa09f03 +0ff00e93 +00600193 +1fdf1863 +00001097 +f7e08093 +ffc09f03 +f0000e93 +00700193 +1ddf1c63 +00001097 +f6608093 +ffe09f03 +00001eb7 +ff0e8e93 +00800193 +1bdf1e63 +00001097 +f4a08093 +00009f03 +fffffeb7 +00fe8e93 +00900193 +1bdf1063 +00001097 +f2808093 +fe008093 +02009283 +0ff00e93 +00a00193 +19d29263 +00001097 +f0c08093 +ffb08093 +00709283 +f0000e93 +00b00193 +17d29463 +00c00193 +00000213 +00001097 +eea08093 +00209f03 +000f0313 +00001eb7 +ff0e8e93 +15d31263 +00120213 +00200293 +fc521ee3 +00d00193 +00000213 +00001097 +ebc08093 +00209f03 +00000013 +000f0313 +fffffeb7 +00fe8e93 +11d31863 +00120213 +00200293 +fc521ce3 +00e00193 +00000213 +00001097 +e8408093 +00209f03 +00000013 +00000013 +000f0313 +f0000e93 +0dd31e63 +00120213 +00200293 +fc521ce3 +00f00193 +00000213 +00001097 +e5208093 +00209f03 +00001eb7 +ff0e8e93 +0bdf1863 +00120213 +00200293 +fe5210e3 +01000193 +00000213 +00001097 +e2808093 +00000013 +00209f03 +fffffeb7 +00fe8e93 +09df1063 +00120213 +00200293 +fc521ee3 +01100193 +00000213 +00001097 +df408093 +00000013 +00000013 +00209f03 +f0000e93 +05df1863 +00120213 +00200293 +fc521ee3 +00001297 +dcc28293 +00029103 +00200113 +00200e93 +01200193 +03d11463 +00001297 +db028293 +00029103 +00000013 +00200113 +00200e93 +01300193 +01d11463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +ff0000ff +f00f0ff0 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-lh.verilog b/tests/isa/generated/rv32ui-p-lh.verilog old mode 100644 new mode 100755 index eeadcd4..5e46ef2 --- a/tests/isa/generated/rv32ui-p-lh.verilog +++ b/tests/isa/generated/rv32ui-p-lh.verilog @@ -1,54 +1,54 @@ -@00000000 -13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF -03 9F 00 00 93 0E F0 0F 93 01 20 00 63 1C DF 25 -97 10 00 00 93 80 00 FE 03 9F 20 00 93 0E 00 F0 -93 01 30 00 63 10 DF 25 97 10 00 00 93 80 80 FC -03 9F 40 00 B7 1E 00 00 93 8E 0E FF 93 01 40 00 -63 12 DF 23 97 10 00 00 93 80 C0 FA 03 9F 60 00 -B7 FE FF FF 93 8E FE 00 93 01 50 00 63 14 DF 21 -97 10 00 00 93 80 60 F9 03 9F A0 FF 93 0E F0 0F -93 01 60 00 63 18 DF 1F 97 10 00 00 93 80 E0 F7 -03 9F C0 FF 93 0E 00 F0 93 01 70 00 63 1C DF 1D -97 10 00 00 93 80 60 F6 03 9F E0 FF B7 1E 00 00 -93 8E 0E FF 93 01 80 00 63 1E DF 1B 97 10 00 00 -93 80 A0 F4 03 9F 00 00 B7 FE FF FF 93 8E FE 00 -93 01 90 00 63 10 DF 1B 97 10 00 00 93 80 80 F2 -93 80 00 FE 83 92 00 02 93 0E F0 0F 93 01 A0 00 -63 92 D2 19 97 10 00 00 93 80 C0 F0 93 80 B0 FF -83 92 70 00 93 0E 00 F0 93 01 B0 00 63 94 D2 17 -93 01 C0 00 13 02 00 00 97 10 00 00 93 80 A0 EE -03 9F 20 00 13 03 0F 00 B7 1E 00 00 93 8E 0E FF -63 12 D3 15 13 02 12 00 93 02 20 00 E3 1E 52 FC -93 01 D0 00 13 02 00 00 97 10 00 00 93 80 C0 EB -03 9F 20 00 13 00 00 00 13 03 0F 00 B7 FE FF FF -93 8E FE 00 63 18 D3 11 13 02 12 00 93 02 20 00 -E3 1C 52 FC 93 01 E0 00 13 02 00 00 97 10 00 00 -93 80 40 E8 03 9F 20 00 13 00 00 00 13 00 00 00 -13 03 0F 00 93 0E 00 F0 63 1E D3 0D 13 02 12 00 -93 02 20 00 E3 1C 52 FC 93 01 F0 00 13 02 00 00 -97 10 00 00 93 80 20 E5 03 9F 20 00 B7 1E 00 00 -93 8E 0E FF 63 18 DF 0B 13 02 12 00 93 02 20 00 -E3 10 52 FE 93 01 00 01 13 02 00 00 97 10 00 00 -93 80 80 E2 13 00 00 00 03 9F 20 00 B7 FE FF FF -93 8E FE 00 63 10 DF 09 13 02 12 00 93 02 20 00 -E3 1E 52 FC 93 01 10 01 13 02 00 00 97 10 00 00 -93 80 40 DF 13 00 00 00 13 00 00 00 03 9F 20 00 -93 0E 00 F0 63 18 DF 05 13 02 12 00 93 02 20 00 -E3 1E 52 FC 97 12 00 00 93 82 C2 DC 03 91 02 00 -13 01 20 00 93 0E 20 00 93 01 20 01 63 14 D1 03 -97 12 00 00 93 82 02 DB 03 91 02 00 13 00 00 00 -13 01 20 00 93 0E 20 00 93 01 30 01 63 14 D1 01 -63 18 30 00 13 0D 10 00 93 0D 00 00 6F 00 00 00 -13 0D 10 00 93 0D 10 00 6F 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00001000 -FF 00 00 FF F0 0F 0F F0 00 00 00 00 00 00 00 00 -@00001040 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF +03 9F 00 00 93 0E F0 0F 93 01 20 00 63 1C DF 25 +97 10 00 00 93 80 00 FE 03 9F 20 00 93 0E 00 F0 +93 01 30 00 63 10 DF 25 97 10 00 00 93 80 80 FC +03 9F 40 00 B7 1E 00 00 93 8E 0E FF 93 01 40 00 +63 12 DF 23 97 10 00 00 93 80 C0 FA 03 9F 60 00 +B7 FE FF FF 93 8E FE 00 93 01 50 00 63 14 DF 21 +97 10 00 00 93 80 60 F9 03 9F A0 FF 93 0E F0 0F +93 01 60 00 63 18 DF 1F 97 10 00 00 93 80 E0 F7 +03 9F C0 FF 93 0E 00 F0 93 01 70 00 63 1C DF 1D +97 10 00 00 93 80 60 F6 03 9F E0 FF B7 1E 00 00 +93 8E 0E FF 93 01 80 00 63 1E DF 1B 97 10 00 00 +93 80 A0 F4 03 9F 00 00 B7 FE FF FF 93 8E FE 00 +93 01 90 00 63 10 DF 1B 97 10 00 00 93 80 80 F2 +93 80 00 FE 83 92 00 02 93 0E F0 0F 93 01 A0 00 +63 92 D2 19 97 10 00 00 93 80 C0 F0 93 80 B0 FF +83 92 70 00 93 0E 00 F0 93 01 B0 00 63 94 D2 17 +93 01 C0 00 13 02 00 00 97 10 00 00 93 80 A0 EE +03 9F 20 00 13 03 0F 00 B7 1E 00 00 93 8E 0E FF +63 12 D3 15 13 02 12 00 93 02 20 00 E3 1E 52 FC +93 01 D0 00 13 02 00 00 97 10 00 00 93 80 C0 EB +03 9F 20 00 13 00 00 00 13 03 0F 00 B7 FE FF FF +93 8E FE 00 63 18 D3 11 13 02 12 00 93 02 20 00 +E3 1C 52 FC 93 01 E0 00 13 02 00 00 97 10 00 00 +93 80 40 E8 03 9F 20 00 13 00 00 00 13 00 00 00 +13 03 0F 00 93 0E 00 F0 63 1E D3 0D 13 02 12 00 +93 02 20 00 E3 1C 52 FC 93 01 F0 00 13 02 00 00 +97 10 00 00 93 80 20 E5 03 9F 20 00 B7 1E 00 00 +93 8E 0E FF 63 18 DF 0B 13 02 12 00 93 02 20 00 +E3 10 52 FE 93 01 00 01 13 02 00 00 97 10 00 00 +93 80 80 E2 13 00 00 00 03 9F 20 00 B7 FE FF FF +93 8E FE 00 63 10 DF 09 13 02 12 00 93 02 20 00 +E3 1E 52 FC 93 01 10 01 13 02 00 00 97 10 00 00 +93 80 40 DF 13 00 00 00 13 00 00 00 03 9F 20 00 +93 0E 00 F0 63 18 DF 05 13 02 12 00 93 02 20 00 +E3 1E 52 FC 97 12 00 00 93 82 C2 DC 03 91 02 00 +13 01 20 00 93 0E 20 00 93 01 20 01 63 14 D1 03 +97 12 00 00 93 82 02 DB 03 91 02 00 13 00 00 00 +13 01 20 00 93 0E 20 00 93 01 30 01 63 14 D1 01 +63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00 +93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00001000 +FF 00 00 FF F0 0F 0F F0 00 00 00 00 00 00 00 00 +@00001040 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-lhu b/tests/isa/generated/rv32ui-p-lhu old mode 100644 new mode 100755 index 96487ff55276cc6ec726e9b9ddbe626b5b96de43..9250ff8490ccfc120dbb617ca04992da17d4126e GIT binary patch delta 806 zcmYk*ze@sP7zgm@)XX92N(-&XD=i{QLY<-@gF;GaiVA`#i*ks>Ujz**Ed~wRwFEAK zLyZzd(9%$UK}%y$Q%kfp6!yKt_j=yJ_xpJs?|skLyO)LPVp(yl`$!)lQpiLjWTV!4 zaJjQfwG%n)G993+V%BrL7`LA(C3o13YATVA=SXTtY)R#UfkYrVQ7L-Vn&QjrOEy2u zWFd(aEcDfAgKb7lB8Qe`Q83XhV#y>dkqc1~#A+VoDdu71)qm${9LW3^D1>Zf8%)Bd ztRF_cVvZm`GA|*&GOr;2FmE9D8NV_w(Jl%mw&6Y;HNGHG7Phed5nN#XW0;@F33$i) z75JU`0(OcM%j1YHQHY2Fd<}0iKfveAb-2uY4_BF=V7Yn2G9Hy@SlpoIH_gp4En~wE zpk!QNrcb!Q{0+}AQ#>AxMPqBTH{IS*sl%^7U;fQZCY9LAW_Hq*;+VSUJDk)0N;6Rs xlRiocQBN~#lQ@*LAPEKsWoA>Nq(ek>77h*#>NSN! zV^bhOG(|%+Hb&6mP!Mfx4MBbVbLVrA@8`XDzk8n7h`z2?Wmia{&S#2b5-C6fWN%I; zMn+vuiF7AX4&82+DAznmJL__#R`uu)vZ4vyOFQ3I`u+j`_^a!u(KO%!e{*f+C{!%<fDw-0MEA0huTmytc@uM|3G zi{||_k?I(Tv4IQNY7U5W371&EvkdEBqkqTxx9~gjJ?v&r>VeT^48vuJq>P5?RQNLdiV9LS8t;ObfGWqLA4y zsIiss+EVm5sD|_TNUE4pW4Ux{PhHPs^J+T1m`}zJr*FLD6GH7YpqG^cUGYoKicGYH eeQj7v*2DfW`=^gc5%g`p&o+p6cS;}jyZ!+k1WLXD diff --git a/tests/isa/generated/rv32ui-p-lhu.bin b/tests/isa/generated/rv32ui-p-lhu.bin old mode 100644 new mode 100755 index 653b25bc533fcc4ffb50e1d396c02728cf44395e..d1c30998eb0f7c9702b39f186ddfce474c550553 GIT binary patch delta 41 scmeBB>`>g$!6Z7Fmw`c;SAZd(fq`K%kOs3iFJy}6oxFjGWs*P#0KKdUWdHyG delta 41 scmeBB>`>g$!6YioE5I 00000288 : - 288: 00100d13 li s10,1 - 28c: 00000d93 li s11,0 + 288: 00000d93 li s11,0 + 28c: 00100d13 li s10,1 00000290 : 290: 0000006f j 290 00000294 : - 294: 00100d13 li s10,1 - 298: 00100d93 li s11,1 + 294: 00100d93 li s11,1 + 298: 00100d13 li s10,1 0000029c : 29c: 0000006f j 29c @@ -243,3 +243,18 @@ Disassembly of section .tohost: 00001080 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-lhu.mem b/tests/isa/generated/rv32ui-p-lhu.mem new file mode 100644 index 0000000..9a67de9 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-lhu.mem @@ -0,0 +1,1058 @@ +00000d13 +00000d93 +00001097 +ff808093 +0000df03 +0ff00e93 +00200193 +27df1663 +00001097 +fe008093 +0020df03 +00010eb7 +f00e8e93 +00300193 +25df1863 +00001097 +fc408093 +0040df03 +00001eb7 +ff0e8e93 +00400193 +23df1a63 +00001097 +fa808093 +0060df03 +0000feb7 +00fe8e93 +00500193 +21df1c63 +00001097 +f9208093 +ffa0df03 +0ff00e93 +00600193 +21df1063 +00001097 +f7a08093 +ffc0df03 +00010eb7 +f00e8e93 +00700193 +1fdf1263 +00001097 +f5e08093 +ffe0df03 +00001eb7 +ff0e8e93 +00800193 +1ddf1463 +00001097 +f4208093 +0000df03 +0000feb7 +00fe8e93 +00900193 +1bdf1663 +00001097 +f2008093 +fe008093 +0200d283 +0ff00e93 +00a00193 +19d29863 +00001097 +f0408093 +ffb08093 +0070d283 +00010eb7 +f00e8e93 +00b00193 +17d29863 +00c00193 +00000213 +00001097 +ede08093 +0020df03 +000f0313 +00001eb7 +ff0e8e93 +15d31663 +00120213 +00200293 +fc521ee3 +00d00193 +00000213 +00001097 +eb008093 +0020df03 +00000013 +000f0313 +0000feb7 +00fe8e93 +11d31c63 +00120213 +00200293 +fc521ce3 +00e00193 +00000213 +00001097 +e7808093 +0020df03 +00000013 +00000013 +000f0313 +00010eb7 +f00e8e93 +0fd31063 +00120213 +00200293 +fc521ae3 +00f00193 +00000213 +00001097 +e4208093 +0020df03 +00001eb7 +ff0e8e93 +0bdf1a63 +00120213 +00200293 +fe5210e3 +01000193 +00000213 +00001097 +e1808093 +00000013 +0020df03 +0000feb7 +00fe8e93 +09df1263 +00120213 +00200293 +fc521ee3 +01100193 +00000213 +00001097 +de408093 +00000013 +00000013 +0020df03 +00010eb7 +f00e8e93 +05df1863 +00120213 +00200293 +fc521ce3 +00001297 +db828293 +0002d103 +00200113 +00200e93 +01200193 +03d11463 +00001297 +d9c28293 +0002d103 +00000013 +00200113 +00200e93 +01300193 +01d11463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +ff0000ff +f00f0ff0 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-lhu.verilog b/tests/isa/generated/rv32ui-p-lhu.verilog old mode 100644 new mode 100755 index 18961d7..b397ca0 --- a/tests/isa/generated/rv32ui-p-lhu.verilog +++ b/tests/isa/generated/rv32ui-p-lhu.verilog @@ -1,54 +1,54 @@ -@00000000 -13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF -03 DF 00 00 93 0E F0 0F 93 01 20 00 63 16 DF 27 -97 10 00 00 93 80 00 FE 03 DF 20 00 B7 0E 01 00 -93 8E 0E F0 93 01 30 00 63 18 DF 25 97 10 00 00 -93 80 40 FC 03 DF 40 00 B7 1E 00 00 93 8E 0E FF -93 01 40 00 63 1A DF 23 97 10 00 00 93 80 80 FA -03 DF 60 00 B7 FE 00 00 93 8E FE 00 93 01 50 00 -63 1C DF 21 97 10 00 00 93 80 20 F9 03 DF A0 FF -93 0E F0 0F 93 01 60 00 63 10 DF 21 97 10 00 00 -93 80 A0 F7 03 DF C0 FF B7 0E 01 00 93 8E 0E F0 -93 01 70 00 63 12 DF 1F 97 10 00 00 93 80 E0 F5 -03 DF E0 FF B7 1E 00 00 93 8E 0E FF 93 01 80 00 -63 14 DF 1D 97 10 00 00 93 80 20 F4 03 DF 00 00 -B7 FE 00 00 93 8E FE 00 93 01 90 00 63 16 DF 1B -97 10 00 00 93 80 00 F2 93 80 00 FE 83 D2 00 02 -93 0E F0 0F 93 01 A0 00 63 98 D2 19 97 10 00 00 -93 80 40 F0 93 80 B0 FF 83 D2 70 00 B7 0E 01 00 -93 8E 0E F0 93 01 B0 00 63 98 D2 17 93 01 C0 00 -13 02 00 00 97 10 00 00 93 80 E0 ED 03 DF 20 00 -13 03 0F 00 B7 1E 00 00 93 8E 0E FF 63 16 D3 15 -13 02 12 00 93 02 20 00 E3 1E 52 FC 93 01 D0 00 -13 02 00 00 97 10 00 00 93 80 00 EB 03 DF 20 00 -13 00 00 00 13 03 0F 00 B7 FE 00 00 93 8E FE 00 -63 1C D3 11 13 02 12 00 93 02 20 00 E3 1C 52 FC -93 01 E0 00 13 02 00 00 97 10 00 00 93 80 80 E7 -03 DF 20 00 13 00 00 00 13 00 00 00 13 03 0F 00 -B7 0E 01 00 93 8E 0E F0 63 10 D3 0F 13 02 12 00 -93 02 20 00 E3 1A 52 FC 93 01 F0 00 13 02 00 00 -97 10 00 00 93 80 20 E4 03 DF 20 00 B7 1E 00 00 -93 8E 0E FF 63 1A DF 0B 13 02 12 00 93 02 20 00 -E3 10 52 FE 93 01 00 01 13 02 00 00 97 10 00 00 -93 80 80 E1 13 00 00 00 03 DF 20 00 B7 FE 00 00 -93 8E FE 00 63 12 DF 09 13 02 12 00 93 02 20 00 -E3 1E 52 FC 93 01 10 01 13 02 00 00 97 10 00 00 -93 80 40 DE 13 00 00 00 13 00 00 00 03 DF 20 00 -B7 0E 01 00 93 8E 0E F0 63 18 DF 05 13 02 12 00 -93 02 20 00 E3 1C 52 FC 97 12 00 00 93 82 82 DB -03 D1 02 00 13 01 20 00 93 0E 20 00 93 01 20 01 -63 14 D1 03 97 12 00 00 93 82 C2 D9 03 D1 02 00 -13 00 00 00 13 01 20 00 93 0E 20 00 93 01 30 01 -63 14 D1 01 63 18 30 00 13 0D 10 00 93 0D 00 00 -6F 00 00 00 13 0D 10 00 93 0D 10 00 6F 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00001000 -FF 00 00 FF F0 0F 0F F0 00 00 00 00 00 00 00 00 -@00001040 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF +03 DF 00 00 93 0E F0 0F 93 01 20 00 63 16 DF 27 +97 10 00 00 93 80 00 FE 03 DF 20 00 B7 0E 01 00 +93 8E 0E F0 93 01 30 00 63 18 DF 25 97 10 00 00 +93 80 40 FC 03 DF 40 00 B7 1E 00 00 93 8E 0E FF +93 01 40 00 63 1A DF 23 97 10 00 00 93 80 80 FA +03 DF 60 00 B7 FE 00 00 93 8E FE 00 93 01 50 00 +63 1C DF 21 97 10 00 00 93 80 20 F9 03 DF A0 FF +93 0E F0 0F 93 01 60 00 63 10 DF 21 97 10 00 00 +93 80 A0 F7 03 DF C0 FF B7 0E 01 00 93 8E 0E F0 +93 01 70 00 63 12 DF 1F 97 10 00 00 93 80 E0 F5 +03 DF E0 FF B7 1E 00 00 93 8E 0E FF 93 01 80 00 +63 14 DF 1D 97 10 00 00 93 80 20 F4 03 DF 00 00 +B7 FE 00 00 93 8E FE 00 93 01 90 00 63 16 DF 1B +97 10 00 00 93 80 00 F2 93 80 00 FE 83 D2 00 02 +93 0E F0 0F 93 01 A0 00 63 98 D2 19 97 10 00 00 +93 80 40 F0 93 80 B0 FF 83 D2 70 00 B7 0E 01 00 +93 8E 0E F0 93 01 B0 00 63 98 D2 17 93 01 C0 00 +13 02 00 00 97 10 00 00 93 80 E0 ED 03 DF 20 00 +13 03 0F 00 B7 1E 00 00 93 8E 0E FF 63 16 D3 15 +13 02 12 00 93 02 20 00 E3 1E 52 FC 93 01 D0 00 +13 02 00 00 97 10 00 00 93 80 00 EB 03 DF 20 00 +13 00 00 00 13 03 0F 00 B7 FE 00 00 93 8E FE 00 +63 1C D3 11 13 02 12 00 93 02 20 00 E3 1C 52 FC +93 01 E0 00 13 02 00 00 97 10 00 00 93 80 80 E7 +03 DF 20 00 13 00 00 00 13 00 00 00 13 03 0F 00 +B7 0E 01 00 93 8E 0E F0 63 10 D3 0F 13 02 12 00 +93 02 20 00 E3 1A 52 FC 93 01 F0 00 13 02 00 00 +97 10 00 00 93 80 20 E4 03 DF 20 00 B7 1E 00 00 +93 8E 0E FF 63 1A DF 0B 13 02 12 00 93 02 20 00 +E3 10 52 FE 93 01 00 01 13 02 00 00 97 10 00 00 +93 80 80 E1 13 00 00 00 03 DF 20 00 B7 FE 00 00 +93 8E FE 00 63 12 DF 09 13 02 12 00 93 02 20 00 +E3 1E 52 FC 93 01 10 01 13 02 00 00 97 10 00 00 +93 80 40 DE 13 00 00 00 13 00 00 00 03 DF 20 00 +B7 0E 01 00 93 8E 0E F0 63 18 DF 05 13 02 12 00 +93 02 20 00 E3 1C 52 FC 97 12 00 00 93 82 82 DB +03 D1 02 00 13 01 20 00 93 0E 20 00 93 01 20 01 +63 14 D1 03 97 12 00 00 93 82 C2 D9 03 D1 02 00 +13 00 00 00 13 01 20 00 93 0E 20 00 93 01 30 01 +63 14 D1 01 63 18 30 00 93 0D 00 00 13 0D 10 00 +6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00001000 +FF 00 00 FF F0 0F 0F F0 00 00 00 00 00 00 00 00 +@00001040 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-lui b/tests/isa/generated/rv32ui-p-lui old mode 100644 new mode 100755 index 01d9e81906f99a00d58b2c320d9b9b7793719ee0..57188c5a177eabb4667d1665520dc1f7295abd7c GIT binary patch delta 510 zcmeyNzDHw%g0PA(0~nYvC@?TGXfUudux&Ip6%d`w%fKMaE5MKsl${Ku!R(2N-I9)y zK#`)%;^Z<0Mt&fZwW!S4DATCGU}B>DWEDY?da!X&f|-FCXet7*Fns*a1LShRg%}x3 zfb0w;KFC25NPHOq6%ZBX6=0am%fOHil!dSb81g43E}!fm zC{oV|(E}z~7(V_t1hP2bVvG!tKz0Ta-vY>%K;qj2**ZvkHz3;qiSG+!hamBjf$S0l zzaA`EfDmAa1F~z7_+ZNgAOZpmOblfpCKA65t`;QB$j}Sqb3lCsQa=eKkHnu1R_6XAv&3tfkBv8fFYlOfnhR`2D2wNwojbE0swi&2W|iW delta 34 lcmeBR>R_6XAu7x(z%ZGYfgzuPfdRr6V91}?*gkOr3jlTo2W|iW diff --git a/tests/isa/generated/rv32ui-p-lui.dump b/tests/isa/generated/rv32ui-p-lui.dump index 7bfdb46..7f28986 100644 --- a/tests/isa/generated/rv32ui-p-lui.dump +++ b/tests/isa/generated/rv32ui-p-lui.dump @@ -43,15 +43,15 @@ Disassembly of section .text.init: 64: 00301863 bne zero,gp,74 00000068 : - 68: 00100d13 li s10,1 - 6c: 00000d93 li s11,0 + 68: 00000d93 li s11,0 + 6c: 00100d13 li s10,1 00000070 : 70: 0000006f j 70 00000074 : - 74: 00100d13 li s10,1 - 78: 00100d93 li s11,1 + 74: 00100d93 li s11,1 + 78: 00100d13 li s10,1 0000007c : 7c: 0000006f j 7c @@ -65,3 +65,18 @@ Disassembly of section .tohost: 00000100 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-lui.mem b/tests/isa/generated/rv32ui-p-lui.mem new file mode 100644 index 0000000..2d118d0 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-lui.mem @@ -0,0 +1,66 @@ +00000d13 +00000d93 +000000b7 +00000e93 +00200193 +05d09a63 +fffff0b7 +4010d093 +80000e93 +00300193 +05d09063 +7ffff0b7 +4140d093 +7ff00e93 +00400193 +03d09663 +800000b7 +4140d093 +80000e93 +00500193 +01d09c63 +80000037 +00000e93 +00600193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-lui.verilog b/tests/isa/generated/rv32ui-p-lui.verilog old mode 100644 new mode 100755 index d6392c3..7aeed8f --- a/tests/isa/generated/rv32ui-p-lui.verilog +++ b/tests/isa/generated/rv32ui-p-lui.verilog @@ -1,16 +1,16 @@ -@00000000 -13 0D 00 00 93 0D 00 00 B7 00 00 00 93 0E 00 00 -93 01 20 00 63 9A D0 05 B7 F0 FF FF 93 D0 10 40 -93 0E 00 80 93 01 30 00 63 90 D0 05 B7 F0 FF 7F -93 D0 40 41 93 0E F0 7F 93 01 40 00 63 96 D0 03 -B7 00 00 80 93 D0 40 41 93 0E 00 80 93 01 50 00 -63 9C D0 01 37 00 00 80 93 0E 00 00 93 01 60 00 -63 14 D0 01 63 18 30 00 13 0D 10 00 93 0D 00 00 -6F 00 00 00 13 0D 10 00 93 0D 10 00 6F 00 00 00 -00 00 00 00 -@000000C0 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 B7 00 00 00 93 0E 00 00 +93 01 20 00 63 9A D0 05 B7 F0 FF FF 93 D0 10 40 +93 0E 00 80 93 01 30 00 63 90 D0 05 B7 F0 FF 7F +93 D0 40 41 93 0E F0 7F 93 01 40 00 63 96 D0 03 +B7 00 00 80 93 D0 40 41 93 0E 00 80 93 01 50 00 +63 9C D0 01 37 00 00 80 93 0E 00 00 93 01 60 00 +63 14 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 +6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +00 00 00 00 +@000000C0 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-lw b/tests/isa/generated/rv32ui-p-lw old mode 100644 new mode 100755 index b38cda0bdb6a130b5fb63297b7805d24369d6a98..5dde85c133a16177b20275ed62dab4277727433f GIT binary patch delta 806 zcmYk4KP&@r6vyAMEjqMu9MY0-w4@P4+D;OQCWtT?NNQ*z)d(F#Q`JZe)mSPqsOusz zkaS?vNGuiuo6Takhy|NP>U-Dwo%fRaz3=Dy?tXvX{a)wK7fv)a5hOFBq>+O{h?KXB3~_<->bc#1KlQjzp@erfu)D=;kD#?1RQmdxd{>9yV5`cAnxqHhNG zW{h9y#95HcC}~99mNt@XijnR8Lszv%1Dc`x_1|Dmb4P%vPI~X)fKSEknngi|oa7Id C4M_t4 delta 716 zcmYk)F-QVY7zgn0omvhaU0G8Jhbc&#z3(2c6Mx%o$nLO@hF6GW5-32^G}T{K z=N8#rD9 z;Q;44Y`4~{2-HGhmz!{9e88F@P#3Oq<2{()k!$dg8^43UIp4!Fw_{Im%5()=@`8e9 z7T`~~%lQ@VaQ=XMoWEdc+^~cL@C%y_8lLQiQD!uQ=4#{lNr`MUkHWe20ULSXFlX9a zSJK7YNl{5`MRsEGv!D{uw5VEAl|&({9xJI_UQ@E!Oh`+sv0Kmb3Ts{l^s29{`}~qv hlZg({uLEt#dc?mtHSprlF#XW)b+~YNLi()V{STyXN`C+V diff --git a/tests/isa/generated/rv32ui-p-lw.bin b/tests/isa/generated/rv32ui-p-lw.bin old mode 100644 new mode 100755 index 68a83b30cafbabf26b022e3af6eef2bd4243c42a..c709f31bdd87dd6a035fcb7903442312182e03f2 GIT binary patch delta 41 scmeBB>`>e=g-LWWF9U-xuK+_n0|UckAPr`3-pJ(7JNW<;$0UIc0Ke=Bg#Z8m delta 41 scmeBB>`>e=g-KMHSAbzMF9Sn90|NtuEx?e!c_WiQ@8kna9Fqh(0K6;-g#Z8m diff --git a/tests/isa/generated/rv32ui-p-lw.dump b/tests/isa/generated/rv32ui-p-lw.dump index b5c5072..b7a8d30 100644 --- a/tests/isa/generated/rv32ui-p-lw.dump +++ b/tests/isa/generated/rv32ui-p-lw.dump @@ -208,15 +208,15 @@ Disassembly of section .text.init: 290: 00301863 bne zero,gp,2a0 00000294 : - 294: 00100d13 li s10,1 - 298: 00000d93 li s11,0 + 294: 00000d93 li s11,0 + 298: 00100d13 li s10,1 0000029c : 29c: 0000006f j 29c 000002a0 : - 2a0: 00100d13 li s10,1 - 2a4: 00100d93 li s11,1 + 2a0: 00100d93 li s11,1 + 2a4: 00100d13 li s10,1 000002a8 : 2a8: 0000006f j 2a8 @@ -246,3 +246,18 @@ Disassembly of section .tohost: 00001080 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-lw.mem b/tests/isa/generated/rv32ui-p-lw.mem new file mode 100644 index 0000000..eca02e2 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-lw.mem @@ -0,0 +1,1058 @@ +00000d13 +00000d93 +00001097 +ff808093 +0000af03 +00ff0eb7 +0ffe8e93 +00200193 +27df1a63 +00001097 +fdc08093 +0040af03 +ff010eb7 +f00e8e93 +00300193 +25df1c63 +00001097 +fc008093 +0080af03 +0ff01eb7 +ff0e8e93 +00400193 +23df1e63 +00001097 +fa408093 +00c0af03 +f00ffeb7 +00fe8e93 +00500193 +23df1063 +00001097 +f9408093 +ff40af03 +00ff0eb7 +0ffe8e93 +00600193 +21df1263 +00001097 +f7808093 +ff80af03 +ff010eb7 +f00e8e93 +00700193 +1fdf1463 +00001097 +f5c08093 +ffc0af03 +0ff01eb7 +ff0e8e93 +00800193 +1ddf1663 +00001097 +f4008093 +0000af03 +f00ffeb7 +00fe8e93 +00900193 +1bdf1863 +00001097 +f1808093 +fe008093 +0200a283 +00ff0eb7 +0ffe8e93 +00a00193 +19d29863 +00001097 +ef808093 +ffd08093 +0070a283 +ff010eb7 +f00e8e93 +00b00193 +17d29863 +00c00193 +00000213 +00001097 +ed408093 +0040af03 +000f0313 +0ff01eb7 +ff0e8e93 +15d31663 +00120213 +00200293 +fc521ee3 +00d00193 +00000213 +00001097 +ea808093 +0040af03 +00000013 +000f0313 +f00ffeb7 +00fe8e93 +11d31c63 +00120213 +00200293 +fc521ce3 +00e00193 +00000213 +00001097 +e6c08093 +0040af03 +00000013 +00000013 +000f0313 +ff010eb7 +f00e8e93 +0fd31063 +00120213 +00200293 +fc521ae3 +00f00193 +00000213 +00001097 +e3808093 +0040af03 +0ff01eb7 +ff0e8e93 +0bdf1a63 +00120213 +00200293 +fe5210e3 +01000193 +00000213 +00001097 +e1008093 +00000013 +0040af03 +f00ffeb7 +00fe8e93 +09df1263 +00120213 +00200293 +fc521ee3 +01100193 +00000213 +00001097 +dd808093 +00000013 +00000013 +0040af03 +ff010eb7 +f00e8e93 +05df1863 +00120213 +00200293 +fc521ce3 +00001297 +dac28293 +0002a103 +00200113 +00200e93 +01200193 +03d11463 +00001297 +d9028293 +0002a103 +00000013 +00200113 +00200e93 +01300193 +01d11463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00ff00ff +ff00ff00 +0ff00ff0 +f00ff00f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-lw.verilog b/tests/isa/generated/rv32ui-p-lw.verilog old mode 100644 new mode 100755 index 339220a..45b1988 --- a/tests/isa/generated/rv32ui-p-lw.verilog +++ b/tests/isa/generated/rv32ui-p-lw.verilog @@ -1,54 +1,54 @@ -@00000000 -13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF -03 AF 00 00 B7 0E FF 00 93 8E FE 0F 93 01 20 00 -63 1A DF 27 97 10 00 00 93 80 C0 FD 03 AF 40 00 -B7 0E 01 FF 93 8E 0E F0 93 01 30 00 63 1C DF 25 -97 10 00 00 93 80 00 FC 03 AF 80 00 B7 1E F0 0F -93 8E 0E FF 93 01 40 00 63 1E DF 23 97 10 00 00 -93 80 40 FA 03 AF C0 00 B7 FE 0F F0 93 8E FE 00 -93 01 50 00 63 10 DF 23 97 10 00 00 93 80 40 F9 -03 AF 40 FF B7 0E FF 00 93 8E FE 0F 93 01 60 00 -63 12 DF 21 97 10 00 00 93 80 80 F7 03 AF 80 FF -B7 0E 01 FF 93 8E 0E F0 93 01 70 00 63 14 DF 1F -97 10 00 00 93 80 C0 F5 03 AF C0 FF B7 1E F0 0F -93 8E 0E FF 93 01 80 00 63 16 DF 1D 97 10 00 00 -93 80 00 F4 03 AF 00 00 B7 FE 0F F0 93 8E FE 00 -93 01 90 00 63 18 DF 1B 97 10 00 00 93 80 80 F1 -93 80 00 FE 83 A2 00 02 B7 0E FF 00 93 8E FE 0F -93 01 A0 00 63 98 D2 19 97 10 00 00 93 80 80 EF -93 80 D0 FF 83 A2 70 00 B7 0E 01 FF 93 8E 0E F0 -93 01 B0 00 63 98 D2 17 93 01 C0 00 13 02 00 00 -97 10 00 00 93 80 40 ED 03 AF 40 00 13 03 0F 00 -B7 1E F0 0F 93 8E 0E FF 63 16 D3 15 13 02 12 00 -93 02 20 00 E3 1E 52 FC 93 01 D0 00 13 02 00 00 -97 10 00 00 93 80 80 EA 03 AF 40 00 13 00 00 00 -13 03 0F 00 B7 FE 0F F0 93 8E FE 00 63 1C D3 11 -13 02 12 00 93 02 20 00 E3 1C 52 FC 93 01 E0 00 -13 02 00 00 97 10 00 00 93 80 C0 E6 03 AF 40 00 -13 00 00 00 13 00 00 00 13 03 0F 00 B7 0E 01 FF -93 8E 0E F0 63 10 D3 0F 13 02 12 00 93 02 20 00 -E3 1A 52 FC 93 01 F0 00 13 02 00 00 97 10 00 00 -93 80 80 E3 03 AF 40 00 B7 1E F0 0F 93 8E 0E FF -63 1A DF 0B 13 02 12 00 93 02 20 00 E3 10 52 FE -93 01 00 01 13 02 00 00 97 10 00 00 93 80 00 E1 -13 00 00 00 03 AF 40 00 B7 FE 0F F0 93 8E FE 00 -63 12 DF 09 13 02 12 00 93 02 20 00 E3 1E 52 FC -93 01 10 01 13 02 00 00 97 10 00 00 93 80 80 DD -13 00 00 00 13 00 00 00 03 AF 40 00 B7 0E 01 FF -93 8E 0E F0 63 18 DF 05 13 02 12 00 93 02 20 00 -E3 1C 52 FC 97 12 00 00 93 82 C2 DA 03 A1 02 00 -13 01 20 00 93 0E 20 00 93 01 20 01 63 14 D1 03 -97 12 00 00 93 82 02 D9 03 A1 02 00 13 00 00 00 -13 01 20 00 93 0E 20 00 93 01 30 01 63 14 D1 01 -63 18 30 00 13 0D 10 00 93 0D 00 00 6F 00 00 00 -13 0D 10 00 93 0D 10 00 6F 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00001000 -FF 00 FF 00 00 FF 00 FF F0 0F F0 0F 0F F0 0F F0 -@00001040 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF +03 AF 00 00 B7 0E FF 00 93 8E FE 0F 93 01 20 00 +63 1A DF 27 97 10 00 00 93 80 C0 FD 03 AF 40 00 +B7 0E 01 FF 93 8E 0E F0 93 01 30 00 63 1C DF 25 +97 10 00 00 93 80 00 FC 03 AF 80 00 B7 1E F0 0F +93 8E 0E FF 93 01 40 00 63 1E DF 23 97 10 00 00 +93 80 40 FA 03 AF C0 00 B7 FE 0F F0 93 8E FE 00 +93 01 50 00 63 10 DF 23 97 10 00 00 93 80 40 F9 +03 AF 40 FF B7 0E FF 00 93 8E FE 0F 93 01 60 00 +63 12 DF 21 97 10 00 00 93 80 80 F7 03 AF 80 FF +B7 0E 01 FF 93 8E 0E F0 93 01 70 00 63 14 DF 1F +97 10 00 00 93 80 C0 F5 03 AF C0 FF B7 1E F0 0F +93 8E 0E FF 93 01 80 00 63 16 DF 1D 97 10 00 00 +93 80 00 F4 03 AF 00 00 B7 FE 0F F0 93 8E FE 00 +93 01 90 00 63 18 DF 1B 97 10 00 00 93 80 80 F1 +93 80 00 FE 83 A2 00 02 B7 0E FF 00 93 8E FE 0F +93 01 A0 00 63 98 D2 19 97 10 00 00 93 80 80 EF +93 80 D0 FF 83 A2 70 00 B7 0E 01 FF 93 8E 0E F0 +93 01 B0 00 63 98 D2 17 93 01 C0 00 13 02 00 00 +97 10 00 00 93 80 40 ED 03 AF 40 00 13 03 0F 00 +B7 1E F0 0F 93 8E 0E FF 63 16 D3 15 13 02 12 00 +93 02 20 00 E3 1E 52 FC 93 01 D0 00 13 02 00 00 +97 10 00 00 93 80 80 EA 03 AF 40 00 13 00 00 00 +13 03 0F 00 B7 FE 0F F0 93 8E FE 00 63 1C D3 11 +13 02 12 00 93 02 20 00 E3 1C 52 FC 93 01 E0 00 +13 02 00 00 97 10 00 00 93 80 C0 E6 03 AF 40 00 +13 00 00 00 13 00 00 00 13 03 0F 00 B7 0E 01 FF +93 8E 0E F0 63 10 D3 0F 13 02 12 00 93 02 20 00 +E3 1A 52 FC 93 01 F0 00 13 02 00 00 97 10 00 00 +93 80 80 E3 03 AF 40 00 B7 1E F0 0F 93 8E 0E FF +63 1A DF 0B 13 02 12 00 93 02 20 00 E3 10 52 FE +93 01 00 01 13 02 00 00 97 10 00 00 93 80 00 E1 +13 00 00 00 03 AF 40 00 B7 FE 0F F0 93 8E FE 00 +63 12 DF 09 13 02 12 00 93 02 20 00 E3 1E 52 FC +93 01 10 01 13 02 00 00 97 10 00 00 93 80 80 DD +13 00 00 00 13 00 00 00 03 AF 40 00 B7 0E 01 FF +93 8E 0E F0 63 18 DF 05 13 02 12 00 93 02 20 00 +E3 1C 52 FC 97 12 00 00 93 82 C2 DA 03 A1 02 00 +13 01 20 00 93 0E 20 00 93 01 20 01 63 14 D1 03 +97 12 00 00 93 82 02 D9 03 A1 02 00 13 00 00 00 +13 01 20 00 93 0E 20 00 93 01 30 01 63 14 D1 01 +63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00 +93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00001000 +FF 00 FF 00 00 FF 00 FF F0 0F F0 0F 0F F0 0F F0 +@00001040 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-or b/tests/isa/generated/rv32ui-p-or old mode 100644 new mode 100755 index e55ed5541571fecf4bc46127a51ff08dce925f7a..f2f7a353ac52a8cd946fce23276624ab4a991f88 GIT binary patch delta 871 zcmYk*J4ixN7zgn0>}VU`$@GZ1xQecI>~t- zx$<}o7m?f5M`_F&7*#GMZv0E~fNUz4%#-LvbY%09a4MXcEhR>ciq}(Ar)zaKvf-@l zW~atq57=du1ic~G>}Elb8*$1cjvhjeGmjzb%pv4*+eMlIp4tVPL;hf1K>lT3L5^Bi zDQFGOFt5W^=56?kc^@9>K&M(!&>_O6RS@cGFPICMuQ8v(T{d+zIzi{?=b0~Io%tHB zGFRZb=^{>Whr)y%ooa#}G43)y!3E}LxXfIGZ<#;gSLPS^h4~%+Xc_NcP#p#8lbswo z=%*PhJFUkj=LNaoGl!a1`wze;%){^^GbNMp<)y9TRV_{WK~CQZH-`x6539bI=-1R2 zswH$io7y|VE~UhzaqEwdX;=i0D$`sGt9nU&VWx%A@DEN`P?c{?E*Zoo?@iuLH#YM@ YBU02yG;YL(g1!y>7K^gfYUU080|4(+0{{R3 delta 794 zcmYk(KS)AR6bA5fHRCl14^&WVkZK65z*3SZGK?hp=TH#zhEyDcLQ^AxNZV{v-eO~0 zZAw$nU^E3TK|^f?4Yk!6MD;!GJs+A~>-FB8KU5VYQ4TrrT+Mj97UG(|uLz0Z1pdaLBm);N*L>$(MpP509GS4ID znU|5P%xlQk9T#X5cLiQV{6toLxm=E9@^D+F&d;<5GFttih1?z@k-2W7f zve6K91~-{6V9AWBRf6hRri$^|XHj|l)(s{M5E~FrC;C=i!4mD diff --git a/tests/isa/generated/rv32ui-p-or.bin b/tests/isa/generated/rv32ui-p-or.bin old mode 100644 new mode 100755 index f365d1b0c430053f01923b0e60ae3ee282ed5896..c8abbf375eb20bc003a3a9c3a849395d13f71193 GIT binary patch delta 29 jcmeC+?%>{VhDC5PF9U-xuK+_n0|UckAT2!kF3V~FXaWY= delta 29 hcmeC+?%>{VhDA`ASAbzMF9Sn90|Nt?J^3!nY5-(H2H5}r diff --git a/tests/isa/generated/rv32ui-p-or.dump b/tests/isa/generated/rv32ui-p-or.dump index 22bf579..0f85dd5 100644 --- a/tests/isa/generated/rv32ui-p-or.dump +++ b/tests/isa/generated/rv32ui-p-or.dump @@ -366,15 +366,15 @@ Disassembly of section .text.init: 4c8: 00301863 bne zero,gp,4d8 000004cc : - 4cc: 00100d13 li s10,1 - 4d0: 00000d93 li s11,0 + 4cc: 00000d93 li s11,0 + 4d0: 00100d13 li s10,1 000004d4 : 4d4: 0000006f j 4d4 000004d8 : - 4d8: 00100d13 li s10,1 - 4dc: 00100d93 li s11,1 + 4d8: 00100d93 li s11,1 + 4dc: 00100d13 li s10,1 000004e0 : 4e0: 0000006f j 4e0 @@ -387,3 +387,18 @@ Disassembly of section .tohost: 00000580 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-or.mem b/tests/isa/generated/rv32ui-p-or.mem new file mode 100644 index 0000000..701ecae --- /dev/null +++ b/tests/isa/generated/rv32ui-p-or.mem @@ -0,0 +1,354 @@ +00000d13 +00000d93 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020ef33 +ff100eb7 +f0fe8e93 +00200193 +4bdf1263 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020ef33 +fff10eb7 +ff0e8e93 +00300193 +49df1063 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +0020ef33 +0fff1eb7 +fffe8e93 +00400193 +45df1e63 +f00ff0b7 +00f08093 +f0f0f137 +0f010113 +0020ef33 +f0fffeb7 +0ffe8e93 +00500193 +43df1c63 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020e0b3 +ff100eb7 +f0fe8e93 +00600193 +41d09a63 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020e133 +ff100eb7 +f0fe8e93 +00700193 +3fd11863 +ff0100b7 +f0008093 +0010e0b3 +ff010eb7 +f00e8e93 +00800193 +3dd09a63 +00000213 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020ef33 +000f0313 +00120213 +00200293 +fe5210e3 +ff100eb7 +f0fe8e93 +00900193 +39d31e63 +00000213 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020ef33 +00000013 +000f0313 +00120213 +00200293 +fc521ee3 +fff10eb7 +ff0e8e93 +00a00193 +37d31063 +00000213 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +0020ef33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fc521ce3 +0fff1eb7 +fffe8e93 +00b00193 +33d31063 +00000213 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020ef33 +00120213 +00200293 +fe5212e3 +ff100eb7 +f0fe8e93 +00c00193 +2fdf1663 +00000213 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +00000013 +0020ef33 +00120213 +00200293 +fe5210e3 +fff10eb7 +ff0e8e93 +00d00193 +2bdf1a63 +00000213 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +00000013 +00000013 +0020ef33 +00120213 +00200293 +fc521ee3 +0fff1eb7 +fffe8e93 +00e00193 +27df1c63 +00000213 +ff0100b7 +f0008093 +00000013 +0f0f1137 +f0f10113 +0020ef33 +00120213 +00200293 +fe5210e3 +ff100eb7 +f0fe8e93 +00f00193 +25df1063 +00000213 +0ff010b7 +ff008093 +00000013 +f0f0f137 +0f010113 +00000013 +0020ef33 +00120213 +00200293 +fc521ee3 +fff10eb7 +ff0e8e93 +01000193 +21df1263 +00000213 +00ff00b7 +0ff08093 +00000013 +00000013 +0f0f1137 +f0f10113 +0020ef33 +00120213 +00200293 +fc521ee3 +0fff1eb7 +fffe8e93 +01100193 +1ddf1463 +00000213 +0f0f1137 +f0f10113 +ff0100b7 +f0008093 +0020ef33 +00120213 +00200293 +fe5212e3 +ff100eb7 +f0fe8e93 +01200193 +19df1a63 +00000213 +f0f0f137 +0f010113 +0ff010b7 +ff008093 +00000013 +0020ef33 +00120213 +00200293 +fe5210e3 +fff10eb7 +ff0e8e93 +01300193 +15df1e63 +00000213 +0f0f1137 +f0f10113 +00ff00b7 +0ff08093 +00000013 +00000013 +0020ef33 +00120213 +00200293 +fc521ee3 +0fff1eb7 +fffe8e93 +01400193 +13df1063 +00000213 +0f0f1137 +f0f10113 +00000013 +ff0100b7 +f0008093 +0020ef33 +00120213 +00200293 +fe5210e3 +ff100eb7 +f0fe8e93 +01500193 +0fdf1463 +00000213 +f0f0f137 +0f010113 +00000013 +0ff010b7 +ff008093 +00000013 +0020ef33 +00120213 +00200293 +fc521ee3 +fff10eb7 +ff0e8e93 +01600193 +0bdf1663 +00000213 +0f0f1137 +f0f10113 +00000013 +00000013 +00ff00b7 +0ff08093 +0020ef33 +00120213 +00200293 +fc521ee3 +0fff1eb7 +fffe8e93 +01700193 +07df1863 +ff0100b7 +f0008093 +00106133 +ff010eb7 +f00e8e93 +01800193 +05d11a63 +00ff00b7 +0ff08093 +0000e133 +00ff0eb7 +0ffe8e93 +01900193 +03d11c63 +000060b3 +00000e93 +01a00193 +03d09463 +111110b7 +11108093 +22222137 +22210113 +0020e033 +00000e93 +01b00193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-or.verilog b/tests/isa/generated/rv32ui-p-or.verilog old mode 100644 new mode 100755 index e6bc7a6..14a9111 --- a/tests/isa/generated/rv32ui-p-or.verilog +++ b/tests/isa/generated/rv32ui-p-or.verilog @@ -1,88 +1,88 @@ -@00000000 -13 0D 00 00 93 0D 00 00 B7 00 01 FF 93 80 00 F0 -37 11 0F 0F 13 01 F1 F0 33 EF 20 00 B7 0E 10 FF -93 8E FE F0 93 01 20 00 63 12 DF 4B B7 10 F0 0F -93 80 00 FF 37 F1 F0 F0 13 01 01 0F 33 EF 20 00 -B7 0E F1 FF 93 8E 0E FF 93 01 30 00 63 10 DF 49 -B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 -33 EF 20 00 B7 1E FF 0F 93 8E FE FF 93 01 40 00 -63 1E DF 45 B7 F0 0F F0 93 80 F0 00 37 F1 F0 F0 -13 01 01 0F 33 EF 20 00 B7 FE FF F0 93 8E FE 0F -93 01 50 00 63 1C DF 43 B7 00 01 FF 93 80 00 F0 -37 11 0F 0F 13 01 F1 F0 B3 E0 20 00 B7 0E 10 FF -93 8E FE F0 93 01 60 00 63 9A D0 41 B7 00 01 FF -93 80 00 F0 37 11 0F 0F 13 01 F1 F0 33 E1 20 00 -B7 0E 10 FF 93 8E FE F0 93 01 70 00 63 18 D1 3F -B7 00 01 FF 93 80 00 F0 B3 E0 10 00 B7 0E 01 FF -93 8E 0E F0 93 01 80 00 63 9A D0 3D 13 02 00 00 -B7 00 01 FF 93 80 00 F0 37 11 0F 0F 13 01 F1 F0 -33 EF 20 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 10 52 FE B7 0E 10 FF 93 8E FE F0 93 01 90 00 -63 1E D3 39 13 02 00 00 B7 10 F0 0F 93 80 00 FF -37 F1 F0 F0 13 01 01 0F 33 EF 20 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 1E 52 FC -B7 0E F1 FF 93 8E 0E FF 93 01 A0 00 63 10 D3 37 -13 02 00 00 B7 00 FF 00 93 80 F0 0F 37 11 0F 0F -13 01 F1 F0 33 EF 20 00 13 00 00 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 1C 52 FC -B7 1E FF 0F 93 8E FE FF 93 01 B0 00 63 10 D3 33 -13 02 00 00 B7 00 01 FF 93 80 00 F0 37 11 0F 0F -13 01 F1 F0 33 EF 20 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 0E 10 FF 93 8E FE F0 93 01 C0 00 -63 16 DF 2F 13 02 00 00 B7 10 F0 0F 93 80 00 FF -37 F1 F0 F0 13 01 01 0F 13 00 00 00 33 EF 20 00 -13 02 12 00 93 02 20 00 E3 10 52 FE B7 0E F1 FF -93 8E 0E FF 93 01 D0 00 63 1A DF 2B 13 02 00 00 -B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 -13 00 00 00 13 00 00 00 33 EF 20 00 13 02 12 00 -93 02 20 00 E3 1E 52 FC B7 1E FF 0F 93 8E FE FF -93 01 E0 00 63 1C DF 27 13 02 00 00 B7 00 01 FF -93 80 00 F0 13 00 00 00 37 11 0F 0F 13 01 F1 F0 -33 EF 20 00 13 02 12 00 93 02 20 00 E3 10 52 FE -B7 0E 10 FF 93 8E FE F0 93 01 F0 00 63 10 DF 25 -13 02 00 00 B7 10 F0 0F 93 80 00 FF 13 00 00 00 -37 F1 F0 F0 13 01 01 0F 13 00 00 00 33 EF 20 00 -13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E F1 FF -93 8E 0E FF 93 01 00 01 63 12 DF 21 13 02 00 00 -B7 00 FF 00 93 80 F0 0F 13 00 00 00 13 00 00 00 -37 11 0F 0F 13 01 F1 F0 33 EF 20 00 13 02 12 00 -93 02 20 00 E3 1E 52 FC B7 1E FF 0F 93 8E FE FF -93 01 10 01 63 14 DF 1D 13 02 00 00 37 11 0F 0F -13 01 F1 F0 B7 00 01 FF 93 80 00 F0 33 EF 20 00 -13 02 12 00 93 02 20 00 E3 12 52 FE B7 0E 10 FF -93 8E FE F0 93 01 20 01 63 1A DF 19 13 02 00 00 -37 F1 F0 F0 13 01 01 0F B7 10 F0 0F 93 80 00 FF -13 00 00 00 33 EF 20 00 13 02 12 00 93 02 20 00 -E3 10 52 FE B7 0E F1 FF 93 8E 0E FF 93 01 30 01 -63 1E DF 15 13 02 00 00 37 11 0F 0F 13 01 F1 F0 -B7 00 FF 00 93 80 F0 0F 13 00 00 00 13 00 00 00 -33 EF 20 00 13 02 12 00 93 02 20 00 E3 1E 52 FC -B7 1E FF 0F 93 8E FE FF 93 01 40 01 63 10 DF 13 -13 02 00 00 37 11 0F 0F 13 01 F1 F0 13 00 00 00 -B7 00 01 FF 93 80 00 F0 33 EF 20 00 13 02 12 00 -93 02 20 00 E3 10 52 FE B7 0E 10 FF 93 8E FE F0 -93 01 50 01 63 14 DF 0F 13 02 00 00 37 F1 F0 F0 -13 01 01 0F 13 00 00 00 B7 10 F0 0F 93 80 00 FF -13 00 00 00 33 EF 20 00 13 02 12 00 93 02 20 00 -E3 1E 52 FC B7 0E F1 FF 93 8E 0E FF 93 01 60 01 -63 16 DF 0B 13 02 00 00 37 11 0F 0F 13 01 F1 F0 -13 00 00 00 13 00 00 00 B7 00 FF 00 93 80 F0 0F -33 EF 20 00 13 02 12 00 93 02 20 00 E3 1E 52 FC -B7 1E FF 0F 93 8E FE FF 93 01 70 01 63 18 DF 07 -B7 00 01 FF 93 80 00 F0 33 61 10 00 B7 0E 01 FF -93 8E 0E F0 93 01 80 01 63 1A D1 05 B7 00 FF 00 -93 80 F0 0F 33 E1 00 00 B7 0E FF 00 93 8E FE 0F -93 01 90 01 63 1C D1 03 B3 60 00 00 93 0E 00 00 -93 01 A0 01 63 94 D0 03 B7 10 11 11 93 80 10 11 -37 21 22 22 13 01 21 22 33 E0 20 00 93 0E 00 00 -93 01 B0 01 63 14 D0 01 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000540 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 B7 00 01 FF 93 80 00 F0 +37 11 0F 0F 13 01 F1 F0 33 EF 20 00 B7 0E 10 FF +93 8E FE F0 93 01 20 00 63 12 DF 4B B7 10 F0 0F +93 80 00 FF 37 F1 F0 F0 13 01 01 0F 33 EF 20 00 +B7 0E F1 FF 93 8E 0E FF 93 01 30 00 63 10 DF 49 +B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 +33 EF 20 00 B7 1E FF 0F 93 8E FE FF 93 01 40 00 +63 1E DF 45 B7 F0 0F F0 93 80 F0 00 37 F1 F0 F0 +13 01 01 0F 33 EF 20 00 B7 FE FF F0 93 8E FE 0F +93 01 50 00 63 1C DF 43 B7 00 01 FF 93 80 00 F0 +37 11 0F 0F 13 01 F1 F0 B3 E0 20 00 B7 0E 10 FF +93 8E FE F0 93 01 60 00 63 9A D0 41 B7 00 01 FF +93 80 00 F0 37 11 0F 0F 13 01 F1 F0 33 E1 20 00 +B7 0E 10 FF 93 8E FE F0 93 01 70 00 63 18 D1 3F +B7 00 01 FF 93 80 00 F0 B3 E0 10 00 B7 0E 01 FF +93 8E 0E F0 93 01 80 00 63 9A D0 3D 13 02 00 00 +B7 00 01 FF 93 80 00 F0 37 11 0F 0F 13 01 F1 F0 +33 EF 20 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 10 52 FE B7 0E 10 FF 93 8E FE F0 93 01 90 00 +63 1E D3 39 13 02 00 00 B7 10 F0 0F 93 80 00 FF +37 F1 F0 F0 13 01 01 0F 33 EF 20 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 1E 52 FC +B7 0E F1 FF 93 8E 0E FF 93 01 A0 00 63 10 D3 37 +13 02 00 00 B7 00 FF 00 93 80 F0 0F 37 11 0F 0F +13 01 F1 F0 33 EF 20 00 13 00 00 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 1C 52 FC +B7 1E FF 0F 93 8E FE FF 93 01 B0 00 63 10 D3 33 +13 02 00 00 B7 00 01 FF 93 80 00 F0 37 11 0F 0F +13 01 F1 F0 33 EF 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 0E 10 FF 93 8E FE F0 93 01 C0 00 +63 16 DF 2F 13 02 00 00 B7 10 F0 0F 93 80 00 FF +37 F1 F0 F0 13 01 01 0F 13 00 00 00 33 EF 20 00 +13 02 12 00 93 02 20 00 E3 10 52 FE B7 0E F1 FF +93 8E 0E FF 93 01 D0 00 63 1A DF 2B 13 02 00 00 +B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 +13 00 00 00 13 00 00 00 33 EF 20 00 13 02 12 00 +93 02 20 00 E3 1E 52 FC B7 1E FF 0F 93 8E FE FF +93 01 E0 00 63 1C DF 27 13 02 00 00 B7 00 01 FF +93 80 00 F0 13 00 00 00 37 11 0F 0F 13 01 F1 F0 +33 EF 20 00 13 02 12 00 93 02 20 00 E3 10 52 FE +B7 0E 10 FF 93 8E FE F0 93 01 F0 00 63 10 DF 25 +13 02 00 00 B7 10 F0 0F 93 80 00 FF 13 00 00 00 +37 F1 F0 F0 13 01 01 0F 13 00 00 00 33 EF 20 00 +13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E F1 FF +93 8E 0E FF 93 01 00 01 63 12 DF 21 13 02 00 00 +B7 00 FF 00 93 80 F0 0F 13 00 00 00 13 00 00 00 +37 11 0F 0F 13 01 F1 F0 33 EF 20 00 13 02 12 00 +93 02 20 00 E3 1E 52 FC B7 1E FF 0F 93 8E FE FF +93 01 10 01 63 14 DF 1D 13 02 00 00 37 11 0F 0F +13 01 F1 F0 B7 00 01 FF 93 80 00 F0 33 EF 20 00 +13 02 12 00 93 02 20 00 E3 12 52 FE B7 0E 10 FF +93 8E FE F0 93 01 20 01 63 1A DF 19 13 02 00 00 +37 F1 F0 F0 13 01 01 0F B7 10 F0 0F 93 80 00 FF +13 00 00 00 33 EF 20 00 13 02 12 00 93 02 20 00 +E3 10 52 FE B7 0E F1 FF 93 8E 0E FF 93 01 30 01 +63 1E DF 15 13 02 00 00 37 11 0F 0F 13 01 F1 F0 +B7 00 FF 00 93 80 F0 0F 13 00 00 00 13 00 00 00 +33 EF 20 00 13 02 12 00 93 02 20 00 E3 1E 52 FC +B7 1E FF 0F 93 8E FE FF 93 01 40 01 63 10 DF 13 +13 02 00 00 37 11 0F 0F 13 01 F1 F0 13 00 00 00 +B7 00 01 FF 93 80 00 F0 33 EF 20 00 13 02 12 00 +93 02 20 00 E3 10 52 FE B7 0E 10 FF 93 8E FE F0 +93 01 50 01 63 14 DF 0F 13 02 00 00 37 F1 F0 F0 +13 01 01 0F 13 00 00 00 B7 10 F0 0F 93 80 00 FF +13 00 00 00 33 EF 20 00 13 02 12 00 93 02 20 00 +E3 1E 52 FC B7 0E F1 FF 93 8E 0E FF 93 01 60 01 +63 16 DF 0B 13 02 00 00 37 11 0F 0F 13 01 F1 F0 +13 00 00 00 13 00 00 00 B7 00 FF 00 93 80 F0 0F +33 EF 20 00 13 02 12 00 93 02 20 00 E3 1E 52 FC +B7 1E FF 0F 93 8E FE FF 93 01 70 01 63 18 DF 07 +B7 00 01 FF 93 80 00 F0 33 61 10 00 B7 0E 01 FF +93 8E 0E F0 93 01 80 01 63 1A D1 05 B7 00 FF 00 +93 80 F0 0F 33 E1 00 00 B7 0E FF 00 93 8E FE 0F +93 01 90 01 63 1C D1 03 B3 60 00 00 93 0E 00 00 +93 01 A0 01 63 94 D0 03 B7 10 11 11 93 80 10 11 +37 21 22 22 13 01 21 22 33 E0 20 00 93 0E 00 00 +93 01 B0 01 63 14 D0 01 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000540 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-ori b/tests/isa/generated/rv32ui-p-ori old mode 100644 new mode 100755 index 8d24d352804d637e595c5f9fcd85fdf01f9a47c5..38d982d4a663e728ec4356d7872123e326879384 GIT binary patch delta 639 zcmYk4F;Buk7>3`1sDnfhYDA4w8(62bMgg+qzT(^DAH{dcN#y|h^rGU2^c%&G z=sm^D^jFUt8m<|9d4Yy=`lsS``atm}9U;5elMiW;CDIwnHBk|Vfx(`pprt6Dr z@XR3Z26U84IWt?x6~tNeJ)DwXFJ)L()jYYfPHSyrO;q%Qy%Znw0~Mz;-!TK&x9<-3 n$o4$!E|)so*Jl`@&5AdDAyAG2FXC5Uom=Anm6yAm;`aClEL}d& delta 573 zcmeyNvqNWsg0P1u0~nYvC@?TGXfUubux>QoC@3n-E5Ii0f zAL##yK!G=K0Y-+|K>iOT{!$>HK>$R6C?FSj1!Q(G!T3xJ=Yh-y zB>oK`-vP!q1RBWTY!wq)oLW>IR diff --git a/tests/isa/generated/rv32ui-p-ori.bin b/tests/isa/generated/rv32ui-p-ori.bin old mode 100644 new mode 100755 index e939a158917f840198b86c87cbe8ef38b03639c3..dc6736468d9b51f06c24b2a9b125c9d537810423 GIT binary patch delta 37 ocmeBR?O@&Tgi&-dF9U-xuK+_n0|UckAPr_uW@KugEWpG80GPZ79RL6T delta 37 ocmeBR?O@&Tgi%zOSAbzMF9Sn90|NtuEx?dJnUSe|vH%kY0F?X(9RL6T diff --git a/tests/isa/generated/rv32ui-p-ori.dump b/tests/isa/generated/rv32ui-p-ori.dump index 0ffdfdd..cfde433 100644 --- a/tests/isa/generated/rv32ui-p-ori.dump +++ b/tests/isa/generated/rv32ui-p-ori.dump @@ -154,15 +154,15 @@ Disassembly of section .text.init: 1e0: 00301863 bne zero,gp,1f0 000001e4 : - 1e4: 00100d13 li s10,1 - 1e8: 00000d93 li s11,0 + 1e4: 00000d93 li s11,0 + 1e8: 00100d13 li s10,1 000001ec : 1ec: 0000006f j 1ec 000001f0 : - 1f0: 00100d13 li s10,1 - 1f4: 00100d93 li s11,1 + 1f0: 00100d93 li s11,1 + 1f4: 00100d13 li s10,1 000001f8 : 1f8: 0000006f j 1f8 @@ -175,3 +175,18 @@ Disassembly of section .tohost: 00000280 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-ori.mem b/tests/isa/generated/rv32ui-p-ori.mem new file mode 100644 index 0000000..c0f6cf4 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-ori.mem @@ -0,0 +1,162 @@ +00000d13 +00000d93 +ff0100b7 +f0008093 +f0f0ef13 +f0f00e93 +00200193 +1ddf1463 +0ff010b7 +ff008093 +0f00ef13 +0ff01eb7 +ff0e8e93 +00300193 +1bdf1663 +00ff00b7 +0ff08093 +70f0ef13 +00ff0eb7 +7ffe8e93 +00400193 +19df1863 +f00ff0b7 +00f08093 +0f00ef13 +f00ffeb7 +0ffe8e93 +00500193 +17df1a63 +ff0100b7 +f0008093 +0f00e093 +ff010eb7 +ff0e8e93 +00600193 +15d09c63 +00000213 +0ff010b7 +ff008093 +0f00ef13 +000f0313 +00120213 +00200293 +fe5214e3 +0ff01eb7 +ff0e8e93 +00700193 +13d31463 +00000213 +00ff00b7 +0ff08093 +70f0ef13 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00ff0eb7 +7ffe8e93 +00800193 +0fd31a63 +00000213 +f00ff0b7 +00f08093 +0f00ef13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +f00ffeb7 +0ffe8e93 +00900193 +0bd31e63 +00000213 +0ff010b7 +ff008093 +0f00ef13 +00120213 +00200293 +fe5216e3 +0ff01eb7 +ff0e8e93 +00a00193 +09df1863 +00000213 +00ff00b7 +0ff08093 +00000013 +f0f0ef13 +00120213 +00200293 +fe5214e3 +fff00e93 +00b00193 +07df1263 +00000213 +f00ff0b7 +00f08093 +00000013 +00000013 +0f00ef13 +00120213 +00200293 +fe5212e3 +f00ffeb7 +0ffe8e93 +00c00193 +03df1863 +0f006093 +0f000e93 +00d00193 +03d09063 +00ff00b7 +0ff08093 +70f0e013 +00000e93 +00e00193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-ori.verilog b/tests/isa/generated/rv32ui-p-ori.verilog old mode 100644 new mode 100755 index 901516b..c26f518 --- a/tests/isa/generated/rv32ui-p-ori.verilog +++ b/tests/isa/generated/rv32ui-p-ori.verilog @@ -1,40 +1,40 @@ -@00000000 -13 0D 00 00 93 0D 00 00 B7 00 01 FF 93 80 00 F0 -13 EF F0 F0 93 0E F0 F0 93 01 20 00 63 14 DF 1D -B7 10 F0 0F 93 80 00 FF 13 EF 00 0F B7 1E F0 0F -93 8E 0E FF 93 01 30 00 63 16 DF 1B B7 00 FF 00 -93 80 F0 0F 13 EF F0 70 B7 0E FF 00 93 8E FE 7F -93 01 40 00 63 18 DF 19 B7 F0 0F F0 93 80 F0 00 -13 EF 00 0F B7 FE 0F F0 93 8E FE 0F 93 01 50 00 -63 1A DF 17 B7 00 01 FF 93 80 00 F0 93 E0 00 0F -B7 0E 01 FF 93 8E 0E FF 93 01 60 00 63 9C D0 15 -13 02 00 00 B7 10 F0 0F 93 80 00 FF 13 EF 00 0F -13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 1E F0 0F 93 8E 0E FF 93 01 70 00 63 14 D3 13 -13 02 00 00 B7 00 FF 00 93 80 F0 0F 13 EF F0 70 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 0E FF 00 93 8E FE 7F 93 01 80 00 -63 1A D3 0F 13 02 00 00 B7 F0 0F F0 93 80 F0 00 -13 EF 00 0F 13 00 00 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 10 52 FE B7 FE 0F F0 -93 8E FE 0F 93 01 90 00 63 1E D3 0B 13 02 00 00 -B7 10 F0 0F 93 80 00 FF 13 EF 00 0F 13 02 12 00 -93 02 20 00 E3 16 52 FE B7 1E F0 0F 93 8E 0E FF -93 01 A0 00 63 18 DF 09 13 02 00 00 B7 00 FF 00 -93 80 F0 0F 13 00 00 00 13 EF F0 F0 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 0E F0 FF 93 01 B0 00 -63 12 DF 07 13 02 00 00 B7 F0 0F F0 93 80 F0 00 -13 00 00 00 13 00 00 00 13 EF 00 0F 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 FE 0F F0 93 8E FE 0F -93 01 C0 00 63 18 DF 03 93 60 00 0F 93 0E 00 0F -93 01 D0 00 63 90 D0 03 B7 00 FF 00 93 80 F0 0F -13 E0 F0 70 93 0E 00 00 93 01 E0 00 63 14 D0 01 -63 18 30 00 13 0D 10 00 93 0D 00 00 6F 00 00 00 -13 0D 10 00 93 0D 10 00 6F 00 00 00 00 00 00 00 -00 00 00 00 -@00000240 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 B7 00 01 FF 93 80 00 F0 +13 EF F0 F0 93 0E F0 F0 93 01 20 00 63 14 DF 1D +B7 10 F0 0F 93 80 00 FF 13 EF 00 0F B7 1E F0 0F +93 8E 0E FF 93 01 30 00 63 16 DF 1B B7 00 FF 00 +93 80 F0 0F 13 EF F0 70 B7 0E FF 00 93 8E FE 7F +93 01 40 00 63 18 DF 19 B7 F0 0F F0 93 80 F0 00 +13 EF 00 0F B7 FE 0F F0 93 8E FE 0F 93 01 50 00 +63 1A DF 17 B7 00 01 FF 93 80 00 F0 93 E0 00 0F +B7 0E 01 FF 93 8E 0E FF 93 01 60 00 63 9C D0 15 +13 02 00 00 B7 10 F0 0F 93 80 00 FF 13 EF 00 0F +13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE +B7 1E F0 0F 93 8E 0E FF 93 01 70 00 63 14 D3 13 +13 02 00 00 B7 00 FF 00 93 80 F0 0F 13 EF F0 70 +13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 0E FF 00 93 8E FE 7F 93 01 80 00 +63 1A D3 0F 13 02 00 00 B7 F0 0F F0 93 80 F0 00 +13 EF 00 0F 13 00 00 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 10 52 FE B7 FE 0F F0 +93 8E FE 0F 93 01 90 00 63 1E D3 0B 13 02 00 00 +B7 10 F0 0F 93 80 00 FF 13 EF 00 0F 13 02 12 00 +93 02 20 00 E3 16 52 FE B7 1E F0 0F 93 8E 0E FF +93 01 A0 00 63 18 DF 09 13 02 00 00 B7 00 FF 00 +93 80 F0 0F 13 00 00 00 13 EF F0 F0 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 0E F0 FF 93 01 B0 00 +63 12 DF 07 13 02 00 00 B7 F0 0F F0 93 80 F0 00 +13 00 00 00 13 00 00 00 13 EF 00 0F 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 FE 0F F0 93 8E FE 0F +93 01 C0 00 63 18 DF 03 93 60 00 0F 93 0E 00 0F +93 01 D0 00 63 90 D0 03 B7 00 FF 00 93 80 F0 0F +13 E0 F0 70 93 0E 00 00 93 01 E0 00 63 14 D0 01 +63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00 +93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00 +00 00 00 00 +@00000240 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-sb b/tests/isa/generated/rv32ui-p-sb old mode 100644 new mode 100755 index a4c3b7c01666a57ba7f71755451e5a50ac70db20..ce8a03b11cc761bd9628e1c681f4d6c56d1bf179 GIT binary patch delta 964 zcmYk*F-QVY7zgn0ou^qSU0jg0WSAj{73m;BP!Lg2mTOVChD1T9TX4b|ij)&E`I`8~MzeZTKL-s8U0>*?*8N>CpSlQj|)B!wd6 zrKYp^o!(~piFCh4Ijow9`nkV-)7LrH2^X?!=?xOCNZo~v_)vBzKe)fsZB~Q5CF`*I zE2#AO>w~>cO^_~g%`PbPi1gcKTTlRL#3oMbMn1{hhdkeKiDE#dQJ@6!CU(Iv ze9G3xkUucX!#|j3k!#Ld2%3Yv?7Rgy>8zIoWl(5l8&=^JXM-REK4jj4@0oXDRl#Hp zL3@Zv<^wp-d;}lcE}=zL6rSw@d;Ayndu3VB09gnMdGJW=f}vQ|Zin>ija46K(z0AE1B9Fbdh_btAL3zY{f6;bM>ct)X#w1Z$Lo xL|ye<24UWWJ7QH-HEi>s62&81CogNpuCR-V2Xt`g{+s2prGNA@lPbM z!Zc$#AQW=UAB2~fE#Xz>#f-rCH#Xv6K>JBfgQH&7Yn_jrFV2r z_@1r_KhPJ#d<0@Ti~dk(bJm5QXhS&aZKl%!2mDuFu%N=0Xy~Ig;Q=}#JVfilDLN|r zitZ8~r;UyczHgfDe1OAQ!?IWC41?QQqwC=f5vx4OLS-FB;F!PmS;fuLeDqCi;xKf_lEpLuhV3(@)#yi-XSFKc0HILr7+aonMHfp@B nC;1(0Zj{q&9$w$PH}&ucd(K=>0Xy#eXlk%M3}jddMzEKEHrG%K diff --git a/tests/isa/generated/rv32ui-p-sb.bin b/tests/isa/generated/rv32ui-p-sb.bin old mode 100644 new mode 100755 index d21bc1d681803319f5527aea5d6045fddaaf9d9c..b4050e349ead19735f2c6f8606fe17ddbd8a52f0 GIT binary patch delta 30 kcmeBB>`>hBhgonkF9U-xuK+_n0|UckAT7L^m!+Bq0Ci6WU;qFB delta 30 icmeBB>`>hBhgndVSAbzMF9Sn90|Nt?y_uJ#ng;-Jj|N}> diff --git a/tests/isa/generated/rv32ui-p-sb.dump b/tests/isa/generated/rv32ui-p-sb.dump index 791562e..b980a64 100644 --- a/tests/isa/generated/rv32ui-p-sb.dump +++ b/tests/isa/generated/rv32ui-p-sb.dump @@ -306,15 +306,15 @@ Disassembly of section .text.init: 3f8: 00301863 bne zero,gp,408 000003fc : - 3fc: 00100d13 li s10,1 - 400: 00000d93 li s11,0 + 3fc: 00000d93 li s11,0 + 400: 00100d13 li s10,1 00000404 : 404: 0000006f j 404 00000408 : - 408: 00100d13 li s10,1 - 40c: 00100d93 li s11,1 + 408: 00100d93 li s11,1 + 40c: 00100d13 li s10,1 00000410 : 410: 0000006f j 410 @@ -361,3 +361,18 @@ Disassembly of section .tohost: 00001080 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-sb.mem b/tests/isa/generated/rv32ui-p-sb.mem new file mode 100644 index 0000000..df0037d --- /dev/null +++ b/tests/isa/generated/rv32ui-p-sb.mem @@ -0,0 +1,1058 @@ +00000d13 +00000d93 +00001097 +ff808093 +faa00113 +00208023 +00008f03 +faa00e93 +00200193 +3ddf1c63 +00001097 +fd808093 +00000113 +002080a3 +00108f03 +00000e93 +00300193 +3bdf1c63 +00001097 +fb808093 +fffff137 +fa010113 +00208123 +00209f03 +fffffeb7 +fa0e8e93 +00400193 +39df1863 +00001097 +f9008093 +00a00113 +002081a3 +00308f03 +00a00e93 +00500193 +37df1863 +00001097 +f7708093 +faa00113 +fe208ea3 +ffd08f03 +faa00e93 +00600193 +35df1863 +00001097 +f5708093 +00000113 +fe208f23 +ffe08f03 +00000e93 +00700193 +33df1863 +00001097 +f3708093 +fa000113 +fe208fa3 +fff08f03 +fa000e93 +00800193 +31df1863 +00001097 +f1708093 +00a00113 +00208023 +00008f03 +00a00e93 +00900193 +2fdf1863 +00001097 +ef808093 +12345137 +67810113 +fe008213 +02220023 +00008283 +07800e93 +00a00193 +2dd29463 +00001097 +ed008093 +00003137 +09810113 +ffa08093 +002083a3 +00001217 +eb920213 +00020283 +f9800e93 +00b00193 +29d29c63 +00c00193 +00000213 +fdd00093 +00001117 +e8c10113 +00110023 +00010f03 +fdd00e93 +27df1a63 +00120213 +00200293 +fc521ee3 +00d00193 +00000213 +fcd00093 +00001117 +e5c10113 +00000013 +001100a3 +00110f03 +fcd00e93 +25df1063 +00120213 +00200293 +fc521ce3 +00e00193 +00000213 +fcc00093 +00001117 +e2810113 +00000013 +00000013 +00110123 +00210f03 +fcc00e93 +21df1463 +00120213 +00200293 +fc521ae3 +00f00193 +00000213 +fbc00093 +00000013 +00001117 +dec10113 +001101a3 +00310f03 +fbc00e93 +1ddf1a63 +00120213 +00200293 +fc521ce3 +01000193 +00000213 +fbb00093 +00000013 +00001117 +db810113 +00000013 +00110223 +00410f03 +fbb00e93 +19df1e63 +00120213 +00200293 +fc521ae3 +01100193 +00000213 +fab00093 +00000013 +00000013 +00001117 +d7c10113 +001102a3 +00510f03 +fab00e93 +17df1263 +00120213 +00200293 +fc521ae3 +01200193 +00000213 +00001117 +d5010113 +03300093 +00110023 +00010f03 +03300e93 +13df1a63 +00120213 +00200293 +fc521ee3 +01300193 +00000213 +00001117 +d2010113 +02300093 +00000013 +001100a3 +00110f03 +02300e93 +11df1063 +00120213 +00200293 +fc521ce3 +01400193 +00000213 +00001117 +cec10113 +02200093 +00000013 +00000013 +00110123 +00210f03 +02200e93 +0ddf1463 +00120213 +00200293 +fc521ae3 +01500193 +00000213 +00001117 +cb410113 +00000013 +01200093 +001101a3 +00310f03 +01200e93 +09df1a63 +00120213 +00200293 +fc521ce3 +01600193 +00000213 +00001117 +c8010113 +00000013 +01100093 +00000013 +00110223 +00410f03 +01100e93 +05df1e63 +00120213 +00200293 +fc521ae3 +01700193 +00000213 +00001117 +c4810113 +00000013 +00000013 +00100093 +001102a3 +00510f03 +00100e93 +03df1263 +00120213 +00200293 +fc521ae3 +0ef00513 +00001597 +c1458593 +00a581a3 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +efefefef +efefefef +0000efef +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-sb.verilog b/tests/isa/generated/rv32ui-p-sb.verilog old mode 100644 new mode 100755 index 8e18bce..8663df8 --- a/tests/isa/generated/rv32ui-p-sb.verilog +++ b/tests/isa/generated/rv32ui-p-sb.verilog @@ -1,78 +1,78 @@ -@00000000 -13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF -13 01 A0 FA 23 80 20 00 03 8F 00 00 93 0E A0 FA -93 01 20 00 63 1C DF 3D 97 10 00 00 93 80 80 FD -13 01 00 00 A3 80 20 00 03 8F 10 00 93 0E 00 00 -93 01 30 00 63 1C DF 3B 97 10 00 00 93 80 80 FB -37 F1 FF FF 13 01 01 FA 23 81 20 00 03 9F 20 00 -B7 FE FF FF 93 8E 0E FA 93 01 40 00 63 18 DF 39 -97 10 00 00 93 80 00 F9 13 01 A0 00 A3 81 20 00 -03 8F 30 00 93 0E A0 00 93 01 50 00 63 18 DF 37 -97 10 00 00 93 80 70 F7 13 01 A0 FA A3 8E 20 FE -03 8F D0 FF 93 0E A0 FA 93 01 60 00 63 18 DF 35 -97 10 00 00 93 80 70 F5 13 01 00 00 23 8F 20 FE -03 8F E0 FF 93 0E 00 00 93 01 70 00 63 18 DF 33 -97 10 00 00 93 80 70 F3 13 01 00 FA A3 8F 20 FE -03 8F F0 FF 93 0E 00 FA 93 01 80 00 63 18 DF 31 -97 10 00 00 93 80 70 F1 13 01 A0 00 23 80 20 00 -03 8F 00 00 93 0E A0 00 93 01 90 00 63 18 DF 2F -97 10 00 00 93 80 80 EF 37 51 34 12 13 01 81 67 -13 82 00 FE 23 00 22 02 83 82 00 00 93 0E 80 07 -93 01 A0 00 63 94 D2 2D 97 10 00 00 93 80 00 ED -37 31 00 00 13 01 81 09 93 80 A0 FF A3 83 20 00 -17 12 00 00 13 02 92 EB 83 02 02 00 93 0E 80 F9 -93 01 B0 00 63 9C D2 29 93 01 C0 00 13 02 00 00 -93 00 D0 FD 17 11 00 00 13 01 C1 E8 23 00 11 00 -03 0F 01 00 93 0E D0 FD 63 1A DF 27 13 02 12 00 -93 02 20 00 E3 1E 52 FC 93 01 D0 00 13 02 00 00 -93 00 D0 FC 17 11 00 00 13 01 C1 E5 13 00 00 00 -A3 00 11 00 03 0F 11 00 93 0E D0 FC 63 10 DF 25 -13 02 12 00 93 02 20 00 E3 1C 52 FC 93 01 E0 00 -13 02 00 00 93 00 C0 FC 17 11 00 00 13 01 81 E2 -13 00 00 00 13 00 00 00 23 01 11 00 03 0F 21 00 -93 0E C0 FC 63 14 DF 21 13 02 12 00 93 02 20 00 -E3 1A 52 FC 93 01 F0 00 13 02 00 00 93 00 C0 FB -13 00 00 00 17 11 00 00 13 01 C1 DE A3 01 11 00 -03 0F 31 00 93 0E C0 FB 63 1A DF 1D 13 02 12 00 -93 02 20 00 E3 1C 52 FC 93 01 00 01 13 02 00 00 -93 00 B0 FB 13 00 00 00 17 11 00 00 13 01 81 DB -13 00 00 00 23 02 11 00 03 0F 41 00 93 0E B0 FB -63 1E DF 19 13 02 12 00 93 02 20 00 E3 1A 52 FC -93 01 10 01 13 02 00 00 93 00 B0 FA 13 00 00 00 -13 00 00 00 17 11 00 00 13 01 C1 D7 A3 02 11 00 -03 0F 51 00 93 0E B0 FA 63 12 DF 17 13 02 12 00 -93 02 20 00 E3 1A 52 FC 93 01 20 01 13 02 00 00 -17 11 00 00 13 01 01 D5 93 00 30 03 23 00 11 00 -03 0F 01 00 93 0E 30 03 63 1A DF 13 13 02 12 00 -93 02 20 00 E3 1E 52 FC 93 01 30 01 13 02 00 00 -17 11 00 00 13 01 01 D2 93 00 30 02 13 00 00 00 -A3 00 11 00 03 0F 11 00 93 0E 30 02 63 10 DF 11 -13 02 12 00 93 02 20 00 E3 1C 52 FC 93 01 40 01 -13 02 00 00 17 11 00 00 13 01 C1 CE 93 00 20 02 -13 00 00 00 13 00 00 00 23 01 11 00 03 0F 21 00 -93 0E 20 02 63 14 DF 0D 13 02 12 00 93 02 20 00 -E3 1A 52 FC 93 01 50 01 13 02 00 00 17 11 00 00 -13 01 41 CB 13 00 00 00 93 00 20 01 A3 01 11 00 -03 0F 31 00 93 0E 20 01 63 1A DF 09 13 02 12 00 -93 02 20 00 E3 1C 52 FC 93 01 60 01 13 02 00 00 -17 11 00 00 13 01 01 C8 13 00 00 00 93 00 10 01 -13 00 00 00 23 02 11 00 03 0F 41 00 93 0E 10 01 -63 1E DF 05 13 02 12 00 93 02 20 00 E3 1A 52 FC -93 01 70 01 13 02 00 00 17 11 00 00 13 01 81 C4 -13 00 00 00 13 00 00 00 93 00 10 00 A3 02 11 00 -03 0F 51 00 93 0E 10 00 63 12 DF 03 13 02 12 00 -93 02 20 00 E3 1A 52 FC 13 05 F0 0E 97 15 00 00 -93 85 45 C1 A3 81 A5 00 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00001000 -EF EF EF EF EF EF EF EF EF EF 00 00 00 00 00 00 -@00001040 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF +13 01 A0 FA 23 80 20 00 03 8F 00 00 93 0E A0 FA +93 01 20 00 63 1C DF 3D 97 10 00 00 93 80 80 FD +13 01 00 00 A3 80 20 00 03 8F 10 00 93 0E 00 00 +93 01 30 00 63 1C DF 3B 97 10 00 00 93 80 80 FB +37 F1 FF FF 13 01 01 FA 23 81 20 00 03 9F 20 00 +B7 FE FF FF 93 8E 0E FA 93 01 40 00 63 18 DF 39 +97 10 00 00 93 80 00 F9 13 01 A0 00 A3 81 20 00 +03 8F 30 00 93 0E A0 00 93 01 50 00 63 18 DF 37 +97 10 00 00 93 80 70 F7 13 01 A0 FA A3 8E 20 FE +03 8F D0 FF 93 0E A0 FA 93 01 60 00 63 18 DF 35 +97 10 00 00 93 80 70 F5 13 01 00 00 23 8F 20 FE +03 8F E0 FF 93 0E 00 00 93 01 70 00 63 18 DF 33 +97 10 00 00 93 80 70 F3 13 01 00 FA A3 8F 20 FE +03 8F F0 FF 93 0E 00 FA 93 01 80 00 63 18 DF 31 +97 10 00 00 93 80 70 F1 13 01 A0 00 23 80 20 00 +03 8F 00 00 93 0E A0 00 93 01 90 00 63 18 DF 2F +97 10 00 00 93 80 80 EF 37 51 34 12 13 01 81 67 +13 82 00 FE 23 00 22 02 83 82 00 00 93 0E 80 07 +93 01 A0 00 63 94 D2 2D 97 10 00 00 93 80 00 ED +37 31 00 00 13 01 81 09 93 80 A0 FF A3 83 20 00 +17 12 00 00 13 02 92 EB 83 02 02 00 93 0E 80 F9 +93 01 B0 00 63 9C D2 29 93 01 C0 00 13 02 00 00 +93 00 D0 FD 17 11 00 00 13 01 C1 E8 23 00 11 00 +03 0F 01 00 93 0E D0 FD 63 1A DF 27 13 02 12 00 +93 02 20 00 E3 1E 52 FC 93 01 D0 00 13 02 00 00 +93 00 D0 FC 17 11 00 00 13 01 C1 E5 13 00 00 00 +A3 00 11 00 03 0F 11 00 93 0E D0 FC 63 10 DF 25 +13 02 12 00 93 02 20 00 E3 1C 52 FC 93 01 E0 00 +13 02 00 00 93 00 C0 FC 17 11 00 00 13 01 81 E2 +13 00 00 00 13 00 00 00 23 01 11 00 03 0F 21 00 +93 0E C0 FC 63 14 DF 21 13 02 12 00 93 02 20 00 +E3 1A 52 FC 93 01 F0 00 13 02 00 00 93 00 C0 FB +13 00 00 00 17 11 00 00 13 01 C1 DE A3 01 11 00 +03 0F 31 00 93 0E C0 FB 63 1A DF 1D 13 02 12 00 +93 02 20 00 E3 1C 52 FC 93 01 00 01 13 02 00 00 +93 00 B0 FB 13 00 00 00 17 11 00 00 13 01 81 DB +13 00 00 00 23 02 11 00 03 0F 41 00 93 0E B0 FB +63 1E DF 19 13 02 12 00 93 02 20 00 E3 1A 52 FC +93 01 10 01 13 02 00 00 93 00 B0 FA 13 00 00 00 +13 00 00 00 17 11 00 00 13 01 C1 D7 A3 02 11 00 +03 0F 51 00 93 0E B0 FA 63 12 DF 17 13 02 12 00 +93 02 20 00 E3 1A 52 FC 93 01 20 01 13 02 00 00 +17 11 00 00 13 01 01 D5 93 00 30 03 23 00 11 00 +03 0F 01 00 93 0E 30 03 63 1A DF 13 13 02 12 00 +93 02 20 00 E3 1E 52 FC 93 01 30 01 13 02 00 00 +17 11 00 00 13 01 01 D2 93 00 30 02 13 00 00 00 +A3 00 11 00 03 0F 11 00 93 0E 30 02 63 10 DF 11 +13 02 12 00 93 02 20 00 E3 1C 52 FC 93 01 40 01 +13 02 00 00 17 11 00 00 13 01 C1 CE 93 00 20 02 +13 00 00 00 13 00 00 00 23 01 11 00 03 0F 21 00 +93 0E 20 02 63 14 DF 0D 13 02 12 00 93 02 20 00 +E3 1A 52 FC 93 01 50 01 13 02 00 00 17 11 00 00 +13 01 41 CB 13 00 00 00 93 00 20 01 A3 01 11 00 +03 0F 31 00 93 0E 20 01 63 1A DF 09 13 02 12 00 +93 02 20 00 E3 1C 52 FC 93 01 60 01 13 02 00 00 +17 11 00 00 13 01 01 C8 13 00 00 00 93 00 10 01 +13 00 00 00 23 02 11 00 03 0F 41 00 93 0E 10 01 +63 1E DF 05 13 02 12 00 93 02 20 00 E3 1A 52 FC +93 01 70 01 13 02 00 00 17 11 00 00 13 01 81 C4 +13 00 00 00 13 00 00 00 93 00 10 00 A3 02 11 00 +03 0F 51 00 93 0E 10 00 63 12 DF 03 13 02 12 00 +93 02 20 00 E3 1A 52 FC 13 05 F0 0E 97 15 00 00 +93 85 45 C1 A3 81 A5 00 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00001000 +EF EF EF EF EF EF EF EF EF EF 00 00 00 00 00 00 +@00001040 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-sh b/tests/isa/generated/rv32ui-p-sh old mode 100644 new mode 100755 index 20c2baf4875b608983446d04372fae34e3d3cc56..51b2a99e44459b49083d67dc2ee4917e402747cf GIT binary patch delta 964 zcmYk*KS(1%6bA5bHmgRBXEXt$jVmz{5dWYlj)Q{;a#$$Jd1$5J#S{TSiBUv^M6gI< zVb~NFS6HO95yi^F1B)xJ(8k8bN-VBWo3m2CS+naK*qQfxGtBJ1NzTU$6MI%D8H3Xj zuwWn#KkAN#C&Ddu6A)?&Vwtlx$Ix*p{J*h%q@B)YR#WRx%?xX@>%&8tp_ReXcBfsj zy7SIv)y@YKN+e7?z6NN&ms1GoIOrw}Trn(|t`#B-<1V>dC*vvM9>yCV&ZCbw_(&kZ z_>(x`3w=y%e-@tA^J2d_deNKDE2Nod6$_T=eQ$w6j=mJ$q5}py zrzz}k92efDi^664kMJ+r?_;N1o+YYqOu7mBklqqLq0fZR=m+5oIxeo@hTawaOBaQ& z>2lS)e}!8n1(u7UAM6d1K@dZLl%Zr~d;ZgW59 E4XSicxc~qF delta 919 zcmYk)F-RLx7zgnGoiRp@a#leH3kQi3D_U$FL5q{73v}FrxM6Xz2ovybAV3YBK@HS&D*tG(ao)O-4`^EeA z=?&3;KtBumEfqB;j>Uiz`bPMSj;gG@qToB*jPN;a3;&?6gfHnx8!N9UxMrL3ZSlx% znb`Fc^d0?E_?~_g{zWIm6>tg;g&XX*h5yn=!tEUIU#SKDE8R?}!I7xwqeH@jbXfQ~ z9TASvUBY8@RQM&WH?48KB;DMA#a2buO*D(f&sm}EU_u+#=!)vw6YCJvVoyPCmgMFt%gBXJ=tFHq?j%)6DqA|CT4z(ovrP diff --git a/tests/isa/generated/rv32ui-p-sh.bin b/tests/isa/generated/rv32ui-p-sh.bin old mode 100644 new mode 100755 index d5dfeb69c3cea616669a8c5d90c8d4facf246011..1a4140c63a40e7d11c6ef4ddc1e8fcc9c4312362 GIT binary patch delta 30 kcmeBB>`>g$z#=%Amw`c;SAZd(fq`K%kQUxNk>xu#0BlkQ`>g$z#=HjE5I 00000480 : - 480: 00100d13 li s10,1 - 484: 00000d93 li s11,0 + 480: 00000d93 li s11,0 + 484: 00100d13 li s10,1 00000488 : 488: 0000006f j 488 0000048c : - 48c: 00100d13 li s10,1 - 490: 00100d93 li s11,1 + 48c: 00100d93 li s11,1 + 490: 00100d13 li s10,1 00000494 : 494: 0000006f j 494 @@ -393,3 +393,18 @@ Disassembly of section .tohost: 00001080 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-sh.mem b/tests/isa/generated/rv32ui-p-sh.mem new file mode 100644 index 0000000..49d66c6 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-sh.mem @@ -0,0 +1,1058 @@ +00000d13 +00000d93 +00001097 +ff808093 +0aa00113 +00209023 +00009f03 +0aa00e93 +00200193 +45df1e63 +00001097 +fd808093 +ffffb137 +a0010113 +00209123 +00209f03 +ffffbeb7 +a00e8e93 +00300193 +43df1a63 +00001097 +fb008093 +beef1137 +aa010113 +00209223 +0040af03 +beef1eb7 +aa0e8e93 +00400193 +41df1663 +00001097 +f8808093 +ffffa137 +00a10113 +00209323 +00609f03 +ffffaeb7 +00ae8e93 +00500193 +3fdf1263 +00001097 +f6e08093 +0aa00113 +fe209d23 +ffa09f03 +0aa00e93 +00600193 +3ddf1263 +00001097 +f4e08093 +ffffb137 +a0010113 +fe209e23 +ffc09f03 +ffffbeb7 +a00e8e93 +00700193 +39df1e63 +00001097 +f2608093 +00001137 +aa010113 +fe209f23 +ffe09f03 +00001eb7 +aa0e8e93 +00800193 +37df1a63 +00001097 +efe08093 +ffffa137 +00a10113 +00209023 +00009f03 +ffffaeb7 +00ae8e93 +00900193 +35df1663 +00001097 +ed808093 +12345137 +67810113 +fe008213 +02221023 +00009283 +00005eb7 +678e8e93 +00a00193 +33d29063 +00001097 +eac08093 +00003137 +09810113 +ffb08093 +002093a3 +00001217 +e9620213 +00021283 +00003eb7 +098e8e93 +00b00193 +2fd29663 +00c00193 +00000213 +ffffd0b7 +cdd08093 +00001117 +e5810113 +00111023 +00011f03 +ffffdeb7 +cdde8e93 +2ddf1063 +00120213 +00200293 +fc521ae3 +00d00193 +00000213 +ffffc0b7 +ccd08093 +00001117 +e2010113 +00000013 +00111123 +00211f03 +ffffceb7 +ccde8e93 +29df1263 +00120213 +00200293 +fc5218e3 +00e00193 +00000213 +ffffc0b7 +bcc08093 +00001117 +de410113 +00000013 +00000013 +00111223 +00411f03 +ffffceb7 +bcce8e93 +25df1263 +00120213 +00200293 +fc5216e3 +00f00193 +00000213 +ffffb0b7 +bbc08093 +00000013 +00001117 +da010113 +00111323 +00611f03 +ffffbeb7 +bbce8e93 +21df1463 +00120213 +00200293 +fc5218e3 +01000193 +00000213 +ffffb0b7 +abb08093 +00000013 +00001117 +d6410113 +00000013 +00111423 +00811f03 +ffffbeb7 +abbe8e93 +1ddf1463 +00120213 +00200293 +fc5216e3 +01100193 +00000213 +ffffe0b7 +aab08093 +00000013 +00000013 +00001117 +d2010113 +00111523 +00a11f03 +ffffeeb7 +aabe8e93 +19df1463 +00120213 +00200293 +fc5216e3 +01200193 +00000213 +00001117 +cf010113 +000020b7 +23308093 +00111023 +00011f03 +00002eb7 +233e8e93 +15df1863 +00120213 +00200293 +fc521ae3 +01300193 +00000213 +00001117 +cb810113 +000010b7 +22308093 +00000013 +00111123 +00211f03 +00001eb7 +223e8e93 +11df1a63 +00120213 +00200293 +fc5218e3 +01400193 +00000213 +00001117 +c7c10113 +000010b7 +12208093 +00000013 +00000013 +00111223 +00411f03 +00001eb7 +122e8e93 +0ddf1a63 +00120213 +00200293 +fc5216e3 +01500193 +00000213 +00001117 +c3c10113 +00000013 +11200093 +00111323 +00611f03 +11200e93 +0bdf1063 +00120213 +00200293 +fc521ce3 +01600193 +00000213 +00001117 +c0810113 +00000013 +01100093 +00000013 +00111423 +00811f03 +01100e93 +07df1463 +00120213 +00200293 +fc521ae3 +01700193 +00000213 +00001117 +bd010113 +00000013 +00000013 +000030b7 +00108093 +00111523 +00a11f03 +00003eb7 +001e8e93 +03df1463 +00120213 +00200293 +fc5216e3 +0000c537 +eef50513 +00001597 +b9058593 +00a59323 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +beefbeef +beefbeef +beefbeef +beefbeef +beefbeef +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-sh.verilog b/tests/isa/generated/rv32ui-p-sh.verilog old mode 100644 new mode 100755 index 8a3fba2..6438be0 --- a/tests/isa/generated/rv32ui-p-sh.verilog +++ b/tests/isa/generated/rv32ui-p-sh.verilog @@ -1,87 +1,87 @@ -@00000000 -13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF -13 01 A0 0A 23 90 20 00 03 9F 00 00 93 0E A0 0A -93 01 20 00 63 1E DF 45 97 10 00 00 93 80 80 FD -37 B1 FF FF 13 01 01 A0 23 91 20 00 03 9F 20 00 -B7 BE FF FF 93 8E 0E A0 93 01 30 00 63 1A DF 43 -97 10 00 00 93 80 00 FB 37 11 EF BE 13 01 01 AA -23 92 20 00 03 AF 40 00 B7 1E EF BE 93 8E 0E AA -93 01 40 00 63 16 DF 41 97 10 00 00 93 80 80 F8 -37 A1 FF FF 13 01 A1 00 23 93 20 00 03 9F 60 00 -B7 AE FF FF 93 8E AE 00 93 01 50 00 63 12 DF 3F -97 10 00 00 93 80 E0 F6 13 01 A0 0A 23 9D 20 FE -03 9F A0 FF 93 0E A0 0A 93 01 60 00 63 12 DF 3D -97 10 00 00 93 80 E0 F4 37 B1 FF FF 13 01 01 A0 -23 9E 20 FE 03 9F C0 FF B7 BE FF FF 93 8E 0E A0 -93 01 70 00 63 1E DF 39 97 10 00 00 93 80 60 F2 -37 11 00 00 13 01 01 AA 23 9F 20 FE 03 9F E0 FF -B7 1E 00 00 93 8E 0E AA 93 01 80 00 63 1A DF 37 -97 10 00 00 93 80 E0 EF 37 A1 FF FF 13 01 A1 00 -23 90 20 00 03 9F 00 00 B7 AE FF FF 93 8E AE 00 -93 01 90 00 63 16 DF 35 97 10 00 00 93 80 80 ED -37 51 34 12 13 01 81 67 13 82 00 FE 23 10 22 02 -83 92 00 00 B7 5E 00 00 93 8E 8E 67 93 01 A0 00 -63 90 D2 33 97 10 00 00 93 80 C0 EA 37 31 00 00 -13 01 81 09 93 80 B0 FF A3 93 20 00 17 12 00 00 -13 02 62 E9 83 12 02 00 B7 3E 00 00 93 8E 8E 09 -93 01 B0 00 63 96 D2 2F 93 01 C0 00 13 02 00 00 -B7 D0 FF FF 93 80 D0 CD 17 11 00 00 13 01 81 E5 -23 10 11 00 03 1F 01 00 B7 DE FF FF 93 8E DE CD -63 10 DF 2D 13 02 12 00 93 02 20 00 E3 1A 52 FC -93 01 D0 00 13 02 00 00 B7 C0 FF FF 93 80 D0 CC -17 11 00 00 13 01 01 E2 13 00 00 00 23 11 11 00 -03 1F 21 00 B7 CE FF FF 93 8E DE CC 63 12 DF 29 -13 02 12 00 93 02 20 00 E3 18 52 FC 93 01 E0 00 -13 02 00 00 B7 C0 FF FF 93 80 C0 BC 17 11 00 00 -13 01 41 DE 13 00 00 00 13 00 00 00 23 12 11 00 -03 1F 41 00 B7 CE FF FF 93 8E CE BC 63 12 DF 25 -13 02 12 00 93 02 20 00 E3 16 52 FC 93 01 F0 00 -13 02 00 00 B7 B0 FF FF 93 80 C0 BB 13 00 00 00 -17 11 00 00 13 01 01 DA 23 13 11 00 03 1F 61 00 -B7 BE FF FF 93 8E CE BB 63 14 DF 21 13 02 12 00 -93 02 20 00 E3 18 52 FC 93 01 00 01 13 02 00 00 -B7 B0 FF FF 93 80 B0 AB 13 00 00 00 17 11 00 00 -13 01 41 D6 13 00 00 00 23 14 11 00 03 1F 81 00 -B7 BE FF FF 93 8E BE AB 63 14 DF 1D 13 02 12 00 -93 02 20 00 E3 16 52 FC 93 01 10 01 13 02 00 00 -B7 E0 FF FF 93 80 B0 AA 13 00 00 00 13 00 00 00 -17 11 00 00 13 01 01 D2 23 15 11 00 03 1F A1 00 -B7 EE FF FF 93 8E BE AA 63 14 DF 19 13 02 12 00 -93 02 20 00 E3 16 52 FC 93 01 20 01 13 02 00 00 -17 11 00 00 13 01 01 CF B7 20 00 00 93 80 30 23 -23 10 11 00 03 1F 01 00 B7 2E 00 00 93 8E 3E 23 -63 18 DF 15 13 02 12 00 93 02 20 00 E3 1A 52 FC -93 01 30 01 13 02 00 00 17 11 00 00 13 01 81 CB -B7 10 00 00 93 80 30 22 13 00 00 00 23 11 11 00 -03 1F 21 00 B7 1E 00 00 93 8E 3E 22 63 1A DF 11 -13 02 12 00 93 02 20 00 E3 18 52 FC 93 01 40 01 -13 02 00 00 17 11 00 00 13 01 C1 C7 B7 10 00 00 -93 80 20 12 13 00 00 00 13 00 00 00 23 12 11 00 -03 1F 41 00 B7 1E 00 00 93 8E 2E 12 63 1A DF 0D -13 02 12 00 93 02 20 00 E3 16 52 FC 93 01 50 01 -13 02 00 00 17 11 00 00 13 01 C1 C3 13 00 00 00 -93 00 20 11 23 13 11 00 03 1F 61 00 93 0E 20 11 -63 10 DF 0B 13 02 12 00 93 02 20 00 E3 1C 52 FC -93 01 60 01 13 02 00 00 17 11 00 00 13 01 81 C0 -13 00 00 00 93 00 10 01 13 00 00 00 23 14 11 00 -03 1F 81 00 93 0E 10 01 63 14 DF 07 13 02 12 00 -93 02 20 00 E3 1A 52 FC 93 01 70 01 13 02 00 00 -17 11 00 00 13 01 01 BD 13 00 00 00 13 00 00 00 -B7 30 00 00 93 80 10 00 23 15 11 00 03 1F A1 00 -B7 3E 00 00 93 8E 1E 00 63 14 DF 03 13 02 12 00 -93 02 20 00 E3 16 52 FC 37 C5 00 00 13 05 F5 EE -97 15 00 00 93 85 05 B9 23 93 A5 00 63 18 30 00 -13 0D 10 00 93 0D 00 00 6F 00 00 00 13 0D 10 00 -93 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00001000 -EF BE EF BE EF BE EF BE EF BE EF BE EF BE EF BE -EF BE EF BE 00 00 00 00 00 00 00 00 00 00 00 00 -@00001040 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF +13 01 A0 0A 23 90 20 00 03 9F 00 00 93 0E A0 0A +93 01 20 00 63 1E DF 45 97 10 00 00 93 80 80 FD +37 B1 FF FF 13 01 01 A0 23 91 20 00 03 9F 20 00 +B7 BE FF FF 93 8E 0E A0 93 01 30 00 63 1A DF 43 +97 10 00 00 93 80 00 FB 37 11 EF BE 13 01 01 AA +23 92 20 00 03 AF 40 00 B7 1E EF BE 93 8E 0E AA +93 01 40 00 63 16 DF 41 97 10 00 00 93 80 80 F8 +37 A1 FF FF 13 01 A1 00 23 93 20 00 03 9F 60 00 +B7 AE FF FF 93 8E AE 00 93 01 50 00 63 12 DF 3F +97 10 00 00 93 80 E0 F6 13 01 A0 0A 23 9D 20 FE +03 9F A0 FF 93 0E A0 0A 93 01 60 00 63 12 DF 3D +97 10 00 00 93 80 E0 F4 37 B1 FF FF 13 01 01 A0 +23 9E 20 FE 03 9F C0 FF B7 BE FF FF 93 8E 0E A0 +93 01 70 00 63 1E DF 39 97 10 00 00 93 80 60 F2 +37 11 00 00 13 01 01 AA 23 9F 20 FE 03 9F E0 FF +B7 1E 00 00 93 8E 0E AA 93 01 80 00 63 1A DF 37 +97 10 00 00 93 80 E0 EF 37 A1 FF FF 13 01 A1 00 +23 90 20 00 03 9F 00 00 B7 AE FF FF 93 8E AE 00 +93 01 90 00 63 16 DF 35 97 10 00 00 93 80 80 ED +37 51 34 12 13 01 81 67 13 82 00 FE 23 10 22 02 +83 92 00 00 B7 5E 00 00 93 8E 8E 67 93 01 A0 00 +63 90 D2 33 97 10 00 00 93 80 C0 EA 37 31 00 00 +13 01 81 09 93 80 B0 FF A3 93 20 00 17 12 00 00 +13 02 62 E9 83 12 02 00 B7 3E 00 00 93 8E 8E 09 +93 01 B0 00 63 96 D2 2F 93 01 C0 00 13 02 00 00 +B7 D0 FF FF 93 80 D0 CD 17 11 00 00 13 01 81 E5 +23 10 11 00 03 1F 01 00 B7 DE FF FF 93 8E DE CD +63 10 DF 2D 13 02 12 00 93 02 20 00 E3 1A 52 FC +93 01 D0 00 13 02 00 00 B7 C0 FF FF 93 80 D0 CC +17 11 00 00 13 01 01 E2 13 00 00 00 23 11 11 00 +03 1F 21 00 B7 CE FF FF 93 8E DE CC 63 12 DF 29 +13 02 12 00 93 02 20 00 E3 18 52 FC 93 01 E0 00 +13 02 00 00 B7 C0 FF FF 93 80 C0 BC 17 11 00 00 +13 01 41 DE 13 00 00 00 13 00 00 00 23 12 11 00 +03 1F 41 00 B7 CE FF FF 93 8E CE BC 63 12 DF 25 +13 02 12 00 93 02 20 00 E3 16 52 FC 93 01 F0 00 +13 02 00 00 B7 B0 FF FF 93 80 C0 BB 13 00 00 00 +17 11 00 00 13 01 01 DA 23 13 11 00 03 1F 61 00 +B7 BE FF FF 93 8E CE BB 63 14 DF 21 13 02 12 00 +93 02 20 00 E3 18 52 FC 93 01 00 01 13 02 00 00 +B7 B0 FF FF 93 80 B0 AB 13 00 00 00 17 11 00 00 +13 01 41 D6 13 00 00 00 23 14 11 00 03 1F 81 00 +B7 BE FF FF 93 8E BE AB 63 14 DF 1D 13 02 12 00 +93 02 20 00 E3 16 52 FC 93 01 10 01 13 02 00 00 +B7 E0 FF FF 93 80 B0 AA 13 00 00 00 13 00 00 00 +17 11 00 00 13 01 01 D2 23 15 11 00 03 1F A1 00 +B7 EE FF FF 93 8E BE AA 63 14 DF 19 13 02 12 00 +93 02 20 00 E3 16 52 FC 93 01 20 01 13 02 00 00 +17 11 00 00 13 01 01 CF B7 20 00 00 93 80 30 23 +23 10 11 00 03 1F 01 00 B7 2E 00 00 93 8E 3E 23 +63 18 DF 15 13 02 12 00 93 02 20 00 E3 1A 52 FC +93 01 30 01 13 02 00 00 17 11 00 00 13 01 81 CB +B7 10 00 00 93 80 30 22 13 00 00 00 23 11 11 00 +03 1F 21 00 B7 1E 00 00 93 8E 3E 22 63 1A DF 11 +13 02 12 00 93 02 20 00 E3 18 52 FC 93 01 40 01 +13 02 00 00 17 11 00 00 13 01 C1 C7 B7 10 00 00 +93 80 20 12 13 00 00 00 13 00 00 00 23 12 11 00 +03 1F 41 00 B7 1E 00 00 93 8E 2E 12 63 1A DF 0D +13 02 12 00 93 02 20 00 E3 16 52 FC 93 01 50 01 +13 02 00 00 17 11 00 00 13 01 C1 C3 13 00 00 00 +93 00 20 11 23 13 11 00 03 1F 61 00 93 0E 20 11 +63 10 DF 0B 13 02 12 00 93 02 20 00 E3 1C 52 FC +93 01 60 01 13 02 00 00 17 11 00 00 13 01 81 C0 +13 00 00 00 93 00 10 01 13 00 00 00 23 14 11 00 +03 1F 81 00 93 0E 10 01 63 14 DF 07 13 02 12 00 +93 02 20 00 E3 1A 52 FC 93 01 70 01 13 02 00 00 +17 11 00 00 13 01 01 BD 13 00 00 00 13 00 00 00 +B7 30 00 00 93 80 10 00 23 15 11 00 03 1F A1 00 +B7 3E 00 00 93 8E 1E 00 63 14 DF 03 13 02 12 00 +93 02 20 00 E3 16 52 FC 37 C5 00 00 13 05 F5 EE +97 15 00 00 93 85 05 B9 23 93 A5 00 63 18 30 00 +93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 +13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00001000 +EF BE EF BE EF BE EF BE EF BE EF BE EF BE EF BE +EF BE EF BE 00 00 00 00 00 00 00 00 00 00 00 00 +@00001040 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-simple b/tests/isa/generated/rv32ui-p-simple old mode 100644 new mode 100755 index 23941b792c9dfd14ccfa59b2790d12d423aef161..4ee9bbe4f37124679a1e84b20aa745325c5855ad GIT binary patch delta 328 zcmeBCJEJy1LD)oy0SrtS6c`v8G#J#EtE5INO#Q75ww@W%o0@V~{7AKc6 zF!BSLtVLzUMwvzh1``wICqEGosfU;WA(C2rw`) zsG#vp;A%n27#XaA{1Z?yka`Co`v8J3#o!6#G#~^R7?P7cO5L0jJtr>{EEUuPyIn7_ zq@*Y_sk9`ucw(aFWEY_TQ9Ym*W(I}=s7{6xKsQNEz9=L-d5Vw#?-L;J08ok*h}kA@ X6q06LBFMlH0F+l|{Q_h;fK&niP6aV5 delta 275 zcmX@3)}uB-L0CqJ0SrtS6c`v8G#JwUU6=0YQ#Q75wFHaH_sb_>J1CuNa zAO9NySpsk|Mh0sT8!8~cz{KE*#*c)n1u0`>NC5IrK*d1nQ-SOQNc=n?y8*%1XJBx) ziU}=FEh>)j@pSZa_pURHaV#isNi0c>@ySn4%!vs}%`J#YP7X8;%gB$MyjHMuVv^?M u7@>g4SA+z3PXL{^0cacx5VKDHC?w5VA;`c`I$2Oyne{D@r8oJYkT3wsBrVL#sUn&yaEjQ3_}wD0E+7Z5C8xG literal 200 YcmWgUWnh>L#KOD+Kw5wypJ8YM0Ep`X5C8xG diff --git a/tests/isa/generated/rv32ui-p-simple.dump b/tests/isa/generated/rv32ui-p-simple.dump index 019a84c..3a09f8d 100644 --- a/tests/isa/generated/rv32ui-p-simple.dump +++ b/tests/isa/generated/rv32ui-p-simple.dump @@ -7,8 +7,8 @@ Disassembly of section .text.init: 00000000 <_start>: 0: 00000d13 li s10,0 4: 00000d93 li s11,0 - 8: 00100d13 li s10,1 - c: 00100d93 li s11,1 + 8: 00100d93 li s11,1 + c: 00100d13 li s10,1 00000010 : 10: 0000006f j 10 @@ -21,3 +21,18 @@ Disassembly of section .tohost: 000000c0 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-simple.mem b/tests/isa/generated/rv32ui-p-simple.mem new file mode 100644 index 0000000..b4f9401 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-simple.mem @@ -0,0 +1,50 @@ +00000d13 +00000d93 +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-simple.verilog b/tests/isa/generated/rv32ui-p-simple.verilog old mode 100644 new mode 100755 index 3df5df1..0b3b3d7 --- a/tests/isa/generated/rv32ui-p-simple.verilog +++ b/tests/isa/generated/rv32ui-p-simple.verilog @@ -1,12 +1,12 @@ -@00000000 -13 0D 00 00 93 0D 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000080 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000080 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-sll b/tests/isa/generated/rv32ui-p-sll old mode 100644 new mode 100755 index cb620fdcca90891042e171bd7b58f10e99afa3e5..68115989d2f4740cae5f33d21f957e13b06fec7f GIT binary patch delta 1091 zcmYk+KWGzS7{~GFZIU)aijkxxF%=_52q>wfK_XO9NVg6VR0;|flhEI#hHN?BL+w(80mMp@XAC1qTO3zwhPl@;t-6&*yjVz4s?~`C8pP*UzVV zIq|9@c`;Iy2^oJgcXDdVofJt;CgmP`T}H1TG+tycWl1{iwdT5*L$tBZ`dqnPUOh8t z9CHiP>8`h&zllhMRYw(-pZ^jt9!5qkGhrzf1V&P{`G6dKgubeLoW8DHq`xzAS7t$P z6v$cnP380SJIXcsPs)q*`^s17e=Fah&xChnq=l=YyGB+Bmcqb@gB|4!+*f{tca)#v zJ>_TkK=~z3jIr^`$QENEaF<86O)wt>_#Lh*zsDQOAMv*GXS}cc1;-<7yfX5Qv7-DP zw|w*a8~H)dR}+5WUFARcKsn0YWuk;$8A;%pavD3zGx(Kq0q+fM-#;U#2}HeA6`WR{ z$3^8Dt}0*0E#*bLtb7fxC@*74+N-~5$1%AZ217rz_AAK+KF0C!VPNM!vHO)>yz8I9 z?w4ls>Vos2S%3RF`@tM8d0$|u;W(Z4t^3aHwL#;Q+t1Y(N-V>JUG+~T@oDkCpuoXp n*fIQt=?l#3aiBeA+5MZ##kTm+pSwqAPGm0dA90a9s9=r%l+;#E delta 1036 zcmYk*JxCj27zgm@RU;7;t|XC!SV&PJQV`+?Myb?LX_3YfsY1jPy~Kb@B#AkSVlg^& z=$3Gc-CA6%2r4a@PTH;A(yeak=%!mc)&HBzU7lxn^Zfqr9nXE`VDxvm7;vrnsa8W2 zAVEQDCCB+-ugBx+P{`;Y%3#;*70Q&f3uB_wT@dCuwY7!r{)f;x?M%=c91wR{fuJzj zfJKaXie6@B33`quW)b_-=vSF%(eE`}patNd5l{mC8S@(YAIv%QRpxEjU)2Z5eR?*g&gD~^c{Mr}dG+GuZb*$}vy+;x zsnJYQORKY~jjWnXCe~l)hSU8S(o=bTX@K-EW#3m(N<21>{IQ2}i2YvCJ3EQ8cn|W? cg^ckozhlcsbZIPhb$dtfXYWUDl{{D0J&o2{sQ>@~ diff --git a/tests/isa/generated/rv32ui-p-sll.bin b/tests/isa/generated/rv32ui-p-sll.bin old mode 100644 new mode 100755 index 5a896b075b2084b23b38dea603aa753801b22e1c..f416129e98d428a308dd224af54815b9563be92b GIT binary patch delta 37 ocmeC+>EPKA!74hLmw`c;SAZd(fq`K%kOs3S7qU*D+`!5L0FGt{l>h($ delta 37 ocmeC+>EPKA!73`uE5Ih($ diff --git a/tests/isa/generated/rv32ui-p-sll.dump b/tests/isa/generated/rv32ui-p-sll.dump index 0f57c51..7f736c4 100644 --- a/tests/isa/generated/rv32ui-p-sll.dump +++ b/tests/isa/generated/rv32ui-p-sll.dump @@ -431,15 +431,15 @@ Disassembly of section .text.init: 554: 00301863 bne zero,gp,564 00000558 : - 558: 00100d13 li s10,1 - 55c: 00000d93 li s11,0 + 558: 00000d93 li s11,0 + 55c: 00100d13 li s10,1 00000560 : 560: 0000006f j 560 00000564 : - 564: 00100d13 li s10,1 - 568: 00100d93 li s11,1 + 564: 00100d93 li s11,1 + 568: 00100d13 li s10,1 0000056c : 56c: 0000006f j 56c @@ -452,3 +452,18 @@ Disassembly of section .tohost: 00000600 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-sll.mem b/tests/isa/generated/rv32ui-p-sll.mem new file mode 100644 index 0000000..30e2a6a --- /dev/null +++ b/tests/isa/generated/rv32ui-p-sll.mem @@ -0,0 +1,386 @@ +00000d13 +00000d93 +00100093 +00000113 +00209f33 +00100e93 +00200193 +53df1e63 +00100093 +00100113 +00209f33 +00200e93 +00300193 +53df1263 +00100093 +00700113 +00209f33 +08000e93 +00400193 +51df1663 +00100093 +00e00113 +00209f33 +00004eb7 +00500193 +4fdf1a63 +00100093 +01f00113 +00209f33 +80000eb7 +00600193 +4ddf1e63 +fff00093 +00000113 +00209f33 +fff00e93 +00700193 +4ddf1263 +fff00093 +00100113 +00209f33 +ffe00e93 +00800193 +4bdf1663 +fff00093 +00700113 +00209f33 +f8000e93 +00900193 +49df1a63 +fff00093 +00e00113 +00209f33 +ffffceb7 +00a00193 +47df1e63 +fff00093 +01f00113 +00209f33 +80000eb7 +00b00193 +47df1263 +212120b7 +12108093 +00000113 +00209f33 +21212eb7 +121e8e93 +00c00193 +45df1263 +212120b7 +12108093 +00100113 +00209f33 +42424eb7 +242e8e93 +00d00193 +43df1263 +212120b7 +12108093 +00700113 +00209f33 +90909eb7 +080e8e93 +00e00193 +41df1263 +212120b7 +12108093 +00e00113 +00209f33 +48484eb7 +00f00193 +3fdf1463 +212120b7 +12108093 +01f00113 +00209f33 +80000eb7 +01000193 +3ddf1663 +212120b7 +12108093 +fc000113 +00209f33 +21212eb7 +121e8e93 +01100193 +3bdf1663 +212120b7 +12108093 +fc100113 +00209f33 +42424eb7 +242e8e93 +01200193 +39df1663 +212120b7 +12108093 +fc700113 +00209f33 +90909eb7 +080e8e93 +01300193 +37df1663 +212120b7 +12108093 +fce00113 +00209f33 +48484eb7 +01400193 +35df1863 +00100093 +00700113 +002090b3 +08000e93 +01600193 +33d09c63 +00100093 +00e00113 +00209133 +00004eb7 +01700193 +33d11063 +00300093 +001090b3 +01800e93 +01800193 +31d09663 +00000213 +00100093 +00700113 +00209f33 +000f0313 +00120213 +00200293 +fe5214e3 +08000e93 +01900193 +2fd31063 +00000213 +00100093 +00e00113 +00209f33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00004eb7 +01a00193 +2bd31863 +00000213 +00100093 +01f00113 +00209f33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +80000eb7 +01b00193 +27d31e63 +00000213 +00100093 +00700113 +00209f33 +00120213 +00200293 +fe5216e3 +08000e93 +01c00193 +25df1a63 +00000213 +00100093 +00e00113 +00000013 +00209f33 +00120213 +00200293 +fe5214e3 +00004eb7 +01d00193 +23df1463 +00000213 +00100093 +01f00113 +00000013 +00000013 +00209f33 +00120213 +00200293 +fe5212e3 +80000eb7 +01e00193 +1fdf1c63 +00000213 +00100093 +00000013 +00700113 +00209f33 +00120213 +00200293 +fe5214e3 +08000e93 +01f00193 +1ddf1663 +00000213 +00100093 +00000013 +00e00113 +00000013 +00209f33 +00120213 +00200293 +fe5212e3 +00004eb7 +02000193 +19df1e63 +00000213 +00100093 +00000013 +00000013 +01f00113 +00209f33 +00120213 +00200293 +fe5212e3 +80000eb7 +02100193 +17df1663 +00000213 +00700113 +00100093 +00209f33 +00120213 +00200293 +fe5216e3 +08000e93 +02200193 +15df1263 +00000213 +00e00113 +00100093 +00000013 +00209f33 +00120213 +00200293 +fe5214e3 +00004eb7 +02300193 +11df1c63 +00000213 +01f00113 +00100093 +00000013 +00000013 +00209f33 +00120213 +00200293 +fe5212e3 +80000eb7 +02400193 +0fdf1463 +00000213 +00700113 +00000013 +00100093 +00209f33 +00120213 +00200293 +fe5214e3 +08000e93 +02500193 +0bdf1e63 +00000213 +00e00113 +00000013 +00100093 +00000013 +00209f33 +00120213 +00200293 +fe5212e3 +00004eb7 +02600193 +09df1663 +00000213 +01f00113 +00000013 +00000013 +00100093 +00209f33 +00120213 +00200293 +fe5212e3 +80000eb7 +02700193 +05df1e63 +00f00093 +00101133 +00000e93 +02800193 +05d11463 +02000093 +00009133 +02000e93 +02900193 +03d11a63 +000010b3 +00000e93 +02a00193 +03d09263 +40000093 +00001137 +80010113 +00209033 +00000e93 +02b00193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-sll.verilog b/tests/isa/generated/rv32ui-p-sll.verilog old mode 100644 new mode 100755 index a0cb17c..e703a05 --- a/tests/isa/generated/rv32ui-p-sll.verilog +++ b/tests/isa/generated/rv32ui-p-sll.verilog @@ -1,96 +1,96 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 10 00 13 01 00 00 -33 9F 20 00 93 0E 10 00 93 01 20 00 63 1E DF 53 -93 00 10 00 13 01 10 00 33 9F 20 00 93 0E 20 00 -93 01 30 00 63 12 DF 53 93 00 10 00 13 01 70 00 -33 9F 20 00 93 0E 00 08 93 01 40 00 63 16 DF 51 -93 00 10 00 13 01 E0 00 33 9F 20 00 B7 4E 00 00 -93 01 50 00 63 1A DF 4F 93 00 10 00 13 01 F0 01 -33 9F 20 00 B7 0E 00 80 93 01 60 00 63 1E DF 4D -93 00 F0 FF 13 01 00 00 33 9F 20 00 93 0E F0 FF -93 01 70 00 63 12 DF 4D 93 00 F0 FF 13 01 10 00 -33 9F 20 00 93 0E E0 FF 93 01 80 00 63 16 DF 4B -93 00 F0 FF 13 01 70 00 33 9F 20 00 93 0E 00 F8 -93 01 90 00 63 1A DF 49 93 00 F0 FF 13 01 E0 00 -33 9F 20 00 B7 CE FF FF 93 01 A0 00 63 1E DF 47 -93 00 F0 FF 13 01 F0 01 33 9F 20 00 B7 0E 00 80 -93 01 B0 00 63 12 DF 47 B7 20 21 21 93 80 10 12 -13 01 00 00 33 9F 20 00 B7 2E 21 21 93 8E 1E 12 -93 01 C0 00 63 12 DF 45 B7 20 21 21 93 80 10 12 -13 01 10 00 33 9F 20 00 B7 4E 42 42 93 8E 2E 24 -93 01 D0 00 63 12 DF 43 B7 20 21 21 93 80 10 12 -13 01 70 00 33 9F 20 00 B7 9E 90 90 93 8E 0E 08 -93 01 E0 00 63 12 DF 41 B7 20 21 21 93 80 10 12 -13 01 E0 00 33 9F 20 00 B7 4E 48 48 93 01 F0 00 -63 14 DF 3F B7 20 21 21 93 80 10 12 13 01 F0 01 -33 9F 20 00 B7 0E 00 80 93 01 00 01 63 16 DF 3D -B7 20 21 21 93 80 10 12 13 01 00 FC 33 9F 20 00 -B7 2E 21 21 93 8E 1E 12 93 01 10 01 63 16 DF 3B -B7 20 21 21 93 80 10 12 13 01 10 FC 33 9F 20 00 -B7 4E 42 42 93 8E 2E 24 93 01 20 01 63 16 DF 39 -B7 20 21 21 93 80 10 12 13 01 70 FC 33 9F 20 00 -B7 9E 90 90 93 8E 0E 08 93 01 30 01 63 16 DF 37 -B7 20 21 21 93 80 10 12 13 01 E0 FC 33 9F 20 00 -B7 4E 48 48 93 01 40 01 63 18 DF 35 93 00 10 00 -13 01 70 00 B3 90 20 00 93 0E 00 08 93 01 60 01 -63 9C D0 33 93 00 10 00 13 01 E0 00 33 91 20 00 -B7 4E 00 00 93 01 70 01 63 10 D1 33 93 00 30 00 -B3 90 10 00 93 0E 80 01 93 01 80 01 63 96 D0 31 -13 02 00 00 93 00 10 00 13 01 70 00 33 9F 20 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE -93 0E 00 08 93 01 90 01 63 10 D3 2F 13 02 00 00 -93 00 10 00 13 01 E0 00 33 9F 20 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 4E 00 00 93 01 A0 01 63 18 D3 2B 13 02 00 00 -93 00 10 00 13 01 F0 01 33 9F 20 00 13 00 00 00 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 10 52 FE B7 0E 00 80 93 01 B0 01 63 1E D3 27 -13 02 00 00 93 00 10 00 13 01 70 00 33 9F 20 00 -13 02 12 00 93 02 20 00 E3 16 52 FE 93 0E 00 08 -93 01 C0 01 63 1A DF 25 13 02 00 00 93 00 10 00 -13 01 E0 00 13 00 00 00 33 9F 20 00 13 02 12 00 -93 02 20 00 E3 14 52 FE B7 4E 00 00 93 01 D0 01 -63 14 DF 23 13 02 00 00 93 00 10 00 13 01 F0 01 -13 00 00 00 13 00 00 00 33 9F 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 0E 00 80 93 01 E0 01 -63 1C DF 1F 13 02 00 00 93 00 10 00 13 00 00 00 -13 01 70 00 33 9F 20 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 00 08 93 01 F0 01 63 16 DF 1D -13 02 00 00 93 00 10 00 13 00 00 00 13 01 E0 00 -13 00 00 00 33 9F 20 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 4E 00 00 93 01 00 02 63 1E DF 19 -13 02 00 00 93 00 10 00 13 00 00 00 13 00 00 00 -13 01 F0 01 33 9F 20 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 0E 00 80 93 01 10 02 63 16 DF 17 -13 02 00 00 13 01 70 00 93 00 10 00 33 9F 20 00 -13 02 12 00 93 02 20 00 E3 16 52 FE 93 0E 00 08 -93 01 20 02 63 12 DF 15 13 02 00 00 13 01 E0 00 -93 00 10 00 13 00 00 00 33 9F 20 00 13 02 12 00 -93 02 20 00 E3 14 52 FE B7 4E 00 00 93 01 30 02 -63 1C DF 11 13 02 00 00 13 01 F0 01 93 00 10 00 -13 00 00 00 13 00 00 00 33 9F 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 0E 00 80 93 01 40 02 -63 14 DF 0F 13 02 00 00 13 01 70 00 13 00 00 00 -93 00 10 00 33 9F 20 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 00 08 93 01 50 02 63 1E DF 0B -13 02 00 00 13 01 E0 00 13 00 00 00 93 00 10 00 -13 00 00 00 33 9F 20 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 4E 00 00 93 01 60 02 63 16 DF 09 -13 02 00 00 13 01 F0 01 13 00 00 00 13 00 00 00 -93 00 10 00 33 9F 20 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 0E 00 80 93 01 70 02 63 1E DF 05 -93 00 F0 00 33 11 10 00 93 0E 00 00 93 01 80 02 -63 14 D1 05 93 00 00 02 33 91 00 00 93 0E 00 02 -93 01 90 02 63 1A D1 03 B3 10 00 00 93 0E 00 00 -93 01 A0 02 63 92 D0 03 93 00 00 40 37 11 00 00 -13 01 01 80 33 90 20 00 93 0E 00 00 93 01 B0 02 -63 14 D0 01 63 18 30 00 13 0D 10 00 93 0D 00 00 -6F 00 00 00 13 0D 10 00 93 0D 10 00 6F 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@000005C0 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 10 00 13 01 00 00 +33 9F 20 00 93 0E 10 00 93 01 20 00 63 1E DF 53 +93 00 10 00 13 01 10 00 33 9F 20 00 93 0E 20 00 +93 01 30 00 63 12 DF 53 93 00 10 00 13 01 70 00 +33 9F 20 00 93 0E 00 08 93 01 40 00 63 16 DF 51 +93 00 10 00 13 01 E0 00 33 9F 20 00 B7 4E 00 00 +93 01 50 00 63 1A DF 4F 93 00 10 00 13 01 F0 01 +33 9F 20 00 B7 0E 00 80 93 01 60 00 63 1E DF 4D +93 00 F0 FF 13 01 00 00 33 9F 20 00 93 0E F0 FF +93 01 70 00 63 12 DF 4D 93 00 F0 FF 13 01 10 00 +33 9F 20 00 93 0E E0 FF 93 01 80 00 63 16 DF 4B +93 00 F0 FF 13 01 70 00 33 9F 20 00 93 0E 00 F8 +93 01 90 00 63 1A DF 49 93 00 F0 FF 13 01 E0 00 +33 9F 20 00 B7 CE FF FF 93 01 A0 00 63 1E DF 47 +93 00 F0 FF 13 01 F0 01 33 9F 20 00 B7 0E 00 80 +93 01 B0 00 63 12 DF 47 B7 20 21 21 93 80 10 12 +13 01 00 00 33 9F 20 00 B7 2E 21 21 93 8E 1E 12 +93 01 C0 00 63 12 DF 45 B7 20 21 21 93 80 10 12 +13 01 10 00 33 9F 20 00 B7 4E 42 42 93 8E 2E 24 +93 01 D0 00 63 12 DF 43 B7 20 21 21 93 80 10 12 +13 01 70 00 33 9F 20 00 B7 9E 90 90 93 8E 0E 08 +93 01 E0 00 63 12 DF 41 B7 20 21 21 93 80 10 12 +13 01 E0 00 33 9F 20 00 B7 4E 48 48 93 01 F0 00 +63 14 DF 3F B7 20 21 21 93 80 10 12 13 01 F0 01 +33 9F 20 00 B7 0E 00 80 93 01 00 01 63 16 DF 3D +B7 20 21 21 93 80 10 12 13 01 00 FC 33 9F 20 00 +B7 2E 21 21 93 8E 1E 12 93 01 10 01 63 16 DF 3B +B7 20 21 21 93 80 10 12 13 01 10 FC 33 9F 20 00 +B7 4E 42 42 93 8E 2E 24 93 01 20 01 63 16 DF 39 +B7 20 21 21 93 80 10 12 13 01 70 FC 33 9F 20 00 +B7 9E 90 90 93 8E 0E 08 93 01 30 01 63 16 DF 37 +B7 20 21 21 93 80 10 12 13 01 E0 FC 33 9F 20 00 +B7 4E 48 48 93 01 40 01 63 18 DF 35 93 00 10 00 +13 01 70 00 B3 90 20 00 93 0E 00 08 93 01 60 01 +63 9C D0 33 93 00 10 00 13 01 E0 00 33 91 20 00 +B7 4E 00 00 93 01 70 01 63 10 D1 33 93 00 30 00 +B3 90 10 00 93 0E 80 01 93 01 80 01 63 96 D0 31 +13 02 00 00 93 00 10 00 13 01 70 00 33 9F 20 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE +93 0E 00 08 93 01 90 01 63 10 D3 2F 13 02 00 00 +93 00 10 00 13 01 E0 00 33 9F 20 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 4E 00 00 93 01 A0 01 63 18 D3 2B 13 02 00 00 +93 00 10 00 13 01 F0 01 33 9F 20 00 13 00 00 00 +13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 10 52 FE B7 0E 00 80 93 01 B0 01 63 1E D3 27 +13 02 00 00 93 00 10 00 13 01 70 00 33 9F 20 00 +13 02 12 00 93 02 20 00 E3 16 52 FE 93 0E 00 08 +93 01 C0 01 63 1A DF 25 13 02 00 00 93 00 10 00 +13 01 E0 00 13 00 00 00 33 9F 20 00 13 02 12 00 +93 02 20 00 E3 14 52 FE B7 4E 00 00 93 01 D0 01 +63 14 DF 23 13 02 00 00 93 00 10 00 13 01 F0 01 +13 00 00 00 13 00 00 00 33 9F 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 0E 00 80 93 01 E0 01 +63 1C DF 1F 13 02 00 00 93 00 10 00 13 00 00 00 +13 01 70 00 33 9F 20 00 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 00 08 93 01 F0 01 63 16 DF 1D +13 02 00 00 93 00 10 00 13 00 00 00 13 01 E0 00 +13 00 00 00 33 9F 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 4E 00 00 93 01 00 02 63 1E DF 19 +13 02 00 00 93 00 10 00 13 00 00 00 13 00 00 00 +13 01 F0 01 33 9F 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 0E 00 80 93 01 10 02 63 16 DF 17 +13 02 00 00 13 01 70 00 93 00 10 00 33 9F 20 00 +13 02 12 00 93 02 20 00 E3 16 52 FE 93 0E 00 08 +93 01 20 02 63 12 DF 15 13 02 00 00 13 01 E0 00 +93 00 10 00 13 00 00 00 33 9F 20 00 13 02 12 00 +93 02 20 00 E3 14 52 FE B7 4E 00 00 93 01 30 02 +63 1C DF 11 13 02 00 00 13 01 F0 01 93 00 10 00 +13 00 00 00 13 00 00 00 33 9F 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 0E 00 80 93 01 40 02 +63 14 DF 0F 13 02 00 00 13 01 70 00 13 00 00 00 +93 00 10 00 33 9F 20 00 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 00 08 93 01 50 02 63 1E DF 0B +13 02 00 00 13 01 E0 00 13 00 00 00 93 00 10 00 +13 00 00 00 33 9F 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 4E 00 00 93 01 60 02 63 16 DF 09 +13 02 00 00 13 01 F0 01 13 00 00 00 13 00 00 00 +93 00 10 00 33 9F 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 0E 00 80 93 01 70 02 63 1E DF 05 +93 00 F0 00 33 11 10 00 93 0E 00 00 93 01 80 02 +63 14 D1 05 93 00 00 02 33 91 00 00 93 0E 00 02 +93 01 90 02 63 1A D1 03 B3 10 00 00 93 0E 00 00 +93 01 A0 02 63 92 D0 03 93 00 00 40 37 11 00 00 +13 01 01 80 33 90 20 00 93 0E 00 00 93 01 B0 02 +63 14 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 +6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@000005C0 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-slli b/tests/isa/generated/rv32ui-p-slli old mode 100644 new mode 100755 index 01a3f9f6d34725959e505d293e27b57613ccc266..04a05be3e8607f4d17c4b35288c8dcc20fa0d038 GIT binary patch delta 821 zcmYk4ze@sP7{{OUM;RrVW|tA9)F4DA8s$(>(9lp2B2mzCX`v7#dO8JX(CDC?LqkJD zP(w@9=+IC@O9Vyq7dW}Jv_*a2yH1}M-uFJA@7=xc^S->5=tHy?^o3MR z&ux6i>(|{xKDURC@K(pu;JR`ZSP390N7HPunzkVieX3N delta 761 zcmYk(F-QVo6bJBkrD23{beTd8Qb9o!N`VqVNm5D{4hBUxbedpgsOi#@HU5ZlZkdzj~u zgUn0FtIRRvbjw9r2TH91#gVJbTgVs8IpjL?KJp{;A##KH2-#-7r9c&U$TZ&n6oqNC zAkaA+W4?q_%r&^od=1x`Z{Y^>11z#H_yqe67x4+7QP?yJ@EcrU{)SJO-{C6r7ktM2 z1Cyvt+Nq7Yv}Tz5%;t#VA~qaAA=IwluP+#eXPG_P0%n?_9 zn++-jMUG?=N?ML3ce8RL5#HWOejUIvDI1_lN&d-7bS)c|Tj2Fm~d diff --git a/tests/isa/generated/rv32ui-p-slli.dump b/tests/isa/generated/rv32ui-p-slli.dump index a113443..7b75214 100644 --- a/tests/isa/generated/rv32ui-p-slli.dump +++ b/tests/isa/generated/rv32ui-p-slli.dump @@ -218,15 +218,15 @@ Disassembly of section .text.init: 288: 00301863 bne zero,gp,298 0000028c : - 28c: 00100d13 li s10,1 - 290: 00000d93 li s11,0 + 28c: 00000d93 li s11,0 + 290: 00100d13 li s10,1 00000294 : 294: 0000006f j 294 00000298 : - 298: 00100d13 li s10,1 - 29c: 00100d93 li s11,1 + 298: 00100d93 li s11,1 + 29c: 00100d13 li s10,1 000002a0 : 2a0: 0000006f j 2a0 @@ -239,3 +239,18 @@ Disassembly of section .tohost: 00000340 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-slli.mem b/tests/isa/generated/rv32ui-p-slli.mem new file mode 100644 index 0000000..65c65a9 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-slli.mem @@ -0,0 +1,210 @@ +00000d13 +00000d93 +00100093 +00009f13 +00100e93 +00200193 +27df1a63 +00100093 +00109f13 +00200e93 +00300193 +27df1063 +00100093 +00709f13 +08000e93 +00400193 +25df1663 +00100093 +00e09f13 +00004eb7 +00500193 +23df1c63 +00100093 +01f09f13 +80000eb7 +00600193 +23df1263 +fff00093 +00009f13 +fff00e93 +00700193 +21df1863 +fff00093 +00109f13 +ffe00e93 +00800193 +1fdf1e63 +fff00093 +00709f13 +f8000e93 +00900193 +1fdf1463 +fff00093 +00e09f13 +ffffceb7 +00a00193 +1ddf1a63 +fff00093 +01f09f13 +80000eb7 +00b00193 +1ddf1063 +212120b7 +12108093 +00009f13 +21212eb7 +121e8e93 +00c00193 +1bdf1263 +212120b7 +12108093 +00109f13 +42424eb7 +242e8e93 +00d00193 +19df1463 +212120b7 +12108093 +00709f13 +90909eb7 +080e8e93 +00e00193 +17df1663 +212120b7 +12108093 +00e09f13 +48484eb7 +00f00193 +15df1a63 +212120b7 +12108093 +01f09f13 +80000eb7 +01000193 +13df1e63 +00100093 +00709093 +08000e93 +01100193 +13d09463 +00000213 +00100093 +00709f13 +000f0313 +00120213 +00200293 +fe5216e3 +08000e93 +01200193 +11d31063 +00000213 +00100093 +00e09f13 +00000013 +000f0313 +00120213 +00200293 +fe5214e3 +00004eb7 +01300193 +0dd31a63 +00000213 +00100093 +01f09f13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +80000eb7 +01400193 +0bd31263 +00000213 +00100093 +00709f13 +00120213 +00200293 +fe5218e3 +08000e93 +01500193 +09df1063 +00000213 +00100093 +00000013 +00e09f13 +00120213 +00200293 +fe5216e3 +00004eb7 +01600193 +05df1c63 +00000213 +00100093 +00000013 +00000013 +01f09f13 +00120213 +00200293 +fe5214e3 +80000eb7 +01700193 +03df1663 +01f01093 +00000e93 +01800193 +01d09e63 +02100093 +01409013 +00000e93 +01900193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-slli.verilog b/tests/isa/generated/rv32ui-p-slli.verilog old mode 100644 new mode 100755 index 1ca8c1a..1135f39 --- a/tests/isa/generated/rv32ui-p-slli.verilog +++ b/tests/isa/generated/rv32ui-p-slli.verilog @@ -1,52 +1,52 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 10 00 13 9F 00 00 -93 0E 10 00 93 01 20 00 63 1A DF 27 93 00 10 00 -13 9F 10 00 93 0E 20 00 93 01 30 00 63 10 DF 27 -93 00 10 00 13 9F 70 00 93 0E 00 08 93 01 40 00 -63 16 DF 25 93 00 10 00 13 9F E0 00 B7 4E 00 00 -93 01 50 00 63 1C DF 23 93 00 10 00 13 9F F0 01 -B7 0E 00 80 93 01 60 00 63 12 DF 23 93 00 F0 FF -13 9F 00 00 93 0E F0 FF 93 01 70 00 63 18 DF 21 -93 00 F0 FF 13 9F 10 00 93 0E E0 FF 93 01 80 00 -63 1E DF 1F 93 00 F0 FF 13 9F 70 00 93 0E 00 F8 -93 01 90 00 63 14 DF 1F 93 00 F0 FF 13 9F E0 00 -B7 CE FF FF 93 01 A0 00 63 1A DF 1D 93 00 F0 FF -13 9F F0 01 B7 0E 00 80 93 01 B0 00 63 10 DF 1D -B7 20 21 21 93 80 10 12 13 9F 00 00 B7 2E 21 21 -93 8E 1E 12 93 01 C0 00 63 12 DF 1B B7 20 21 21 -93 80 10 12 13 9F 10 00 B7 4E 42 42 93 8E 2E 24 -93 01 D0 00 63 14 DF 19 B7 20 21 21 93 80 10 12 -13 9F 70 00 B7 9E 90 90 93 8E 0E 08 93 01 E0 00 -63 16 DF 17 B7 20 21 21 93 80 10 12 13 9F E0 00 -B7 4E 48 48 93 01 F0 00 63 1A DF 15 B7 20 21 21 -93 80 10 12 13 9F F0 01 B7 0E 00 80 93 01 00 01 -63 1E DF 13 93 00 10 00 93 90 70 00 93 0E 00 08 -93 01 10 01 63 94 D0 13 13 02 00 00 93 00 10 00 -13 9F 70 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 16 52 FE 93 0E 00 08 93 01 20 01 63 10 D3 11 -13 02 00 00 93 00 10 00 13 9F E0 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 4E 00 00 93 01 30 01 63 1A D3 0D 13 02 00 00 -93 00 10 00 13 9F F0 01 13 00 00 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 0E 00 80 93 01 40 01 63 12 D3 0B 13 02 00 00 -93 00 10 00 13 9F 70 00 13 02 12 00 93 02 20 00 -E3 18 52 FE 93 0E 00 08 93 01 50 01 63 10 DF 09 -13 02 00 00 93 00 10 00 13 00 00 00 13 9F E0 00 -13 02 12 00 93 02 20 00 E3 16 52 FE B7 4E 00 00 -93 01 60 01 63 1C DF 05 13 02 00 00 93 00 10 00 -13 00 00 00 13 00 00 00 13 9F F0 01 13 02 12 00 -93 02 20 00 E3 14 52 FE B7 0E 00 80 93 01 70 01 -63 16 DF 03 93 10 F0 01 93 0E 00 00 93 01 80 01 -63 9E D0 01 93 00 10 02 13 90 40 01 93 0E 00 00 -93 01 90 01 63 14 D0 01 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000300 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 10 00 13 9F 00 00 +93 0E 10 00 93 01 20 00 63 1A DF 27 93 00 10 00 +13 9F 10 00 93 0E 20 00 93 01 30 00 63 10 DF 27 +93 00 10 00 13 9F 70 00 93 0E 00 08 93 01 40 00 +63 16 DF 25 93 00 10 00 13 9F E0 00 B7 4E 00 00 +93 01 50 00 63 1C DF 23 93 00 10 00 13 9F F0 01 +B7 0E 00 80 93 01 60 00 63 12 DF 23 93 00 F0 FF +13 9F 00 00 93 0E F0 FF 93 01 70 00 63 18 DF 21 +93 00 F0 FF 13 9F 10 00 93 0E E0 FF 93 01 80 00 +63 1E DF 1F 93 00 F0 FF 13 9F 70 00 93 0E 00 F8 +93 01 90 00 63 14 DF 1F 93 00 F0 FF 13 9F E0 00 +B7 CE FF FF 93 01 A0 00 63 1A DF 1D 93 00 F0 FF +13 9F F0 01 B7 0E 00 80 93 01 B0 00 63 10 DF 1D +B7 20 21 21 93 80 10 12 13 9F 00 00 B7 2E 21 21 +93 8E 1E 12 93 01 C0 00 63 12 DF 1B B7 20 21 21 +93 80 10 12 13 9F 10 00 B7 4E 42 42 93 8E 2E 24 +93 01 D0 00 63 14 DF 19 B7 20 21 21 93 80 10 12 +13 9F 70 00 B7 9E 90 90 93 8E 0E 08 93 01 E0 00 +63 16 DF 17 B7 20 21 21 93 80 10 12 13 9F E0 00 +B7 4E 48 48 93 01 F0 00 63 1A DF 15 B7 20 21 21 +93 80 10 12 13 9F F0 01 B7 0E 00 80 93 01 00 01 +63 1E DF 13 93 00 10 00 93 90 70 00 93 0E 00 08 +93 01 10 01 63 94 D0 13 13 02 00 00 93 00 10 00 +13 9F 70 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 16 52 FE 93 0E 00 08 93 01 20 01 63 10 D3 11 +13 02 00 00 93 00 10 00 13 9F E0 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE +B7 4E 00 00 93 01 30 01 63 1A D3 0D 13 02 00 00 +93 00 10 00 13 9F F0 01 13 00 00 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 0E 00 80 93 01 40 01 63 12 D3 0B 13 02 00 00 +93 00 10 00 13 9F 70 00 13 02 12 00 93 02 20 00 +E3 18 52 FE 93 0E 00 08 93 01 50 01 63 10 DF 09 +13 02 00 00 93 00 10 00 13 00 00 00 13 9F E0 00 +13 02 12 00 93 02 20 00 E3 16 52 FE B7 4E 00 00 +93 01 60 01 63 1C DF 05 13 02 00 00 93 00 10 00 +13 00 00 00 13 00 00 00 13 9F F0 01 13 02 12 00 +93 02 20 00 E3 14 52 FE B7 0E 00 80 93 01 70 01 +63 16 DF 03 93 10 F0 01 93 0E 00 00 93 01 80 01 +63 9E D0 01 93 00 10 02 13 90 40 01 93 0E 00 00 +93 01 90 01 63 14 D0 01 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000300 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-slt b/tests/isa/generated/rv32ui-p-slt old mode 100644 new mode 100755 index 858b3b5f600e58aaaf4efdc88e47e1a4ec98067a..078e4c5ffd8685c20b1087ff72f644a30a6c74fb GIT binary patch delta 1024 zcmZA0JxD@P6bJBg{ip^bMFYzsqXwa{vaq0n7t|JgKtqEBWWu^cf9Ys``+_@2aCh|UgudWX)#1z z66B+Ls=FLKaXHjRB4?wWHt?&8*{Qy`&RZs0z(gz=*(TA1)SB2H48#KQ{)2Fbns0Wc zwO-Z1OlGvKg@rzUZD4~@5;TAX_ZGb%$cfae6IaXKjWBm2pJVnRU#&S!eL$vGpdj)i z=5gc&=1Jrw=4s?_%nQgHjHeJ3g1eblV8w7+&^ii>MnO;tjx+DVIp!>U#=Hj?nGfL- z^D+F*oQHiT3^LMqWfz!3dI7$Mv&=Vef%y)8W-h@tGX^aLJzySVeuCGT%kW{<`2K?4 zQMhFbzTgk$AGpneK?^|^Jk2z-4c=vT!TZc@aGqIyj_Zo$|4+~;3Quf7FZ{?Hgv-nd zO!mrl>|~;ue_oQVh8_K*aL!sO$n|S*MstVkW1=Jq3R^&t$n5-PU}o{^)qN@)e(ePL z!>Lpvw!D>!CJ(|rYN2&*%8#CSQE~ldxn|N%fNp&sayGsg@;uflxKQ5GueN(U*0P&u SM;-TcxtDN+6x8+5d;9^+omYtf delta 961 zcmZA0O(+Cm7zgm@#fqX&$4xQdc;9mDwy@Bj(fS1X`1T+rnKeN@7;uwd6a-Zux(#BQ8f3Mm zH$e>u?FMnb6?ufY3whzsVHyB7{|YpWe8fD8e9b(G{K7ngoMi4o&?216yaLxUN8vux z*#8y^vRM$c2S=C>;a%oqIL3SiUo&68pUhWqUK~2D3A)8qG91Pc-J`H<6yPWLi1`(M zWd49t;?ZeM&=;=N%mR~-F(<4G{eXDT9q8HThYw!Z|CS0qz zRDCbbwysL*4h5$|lCRm@*4Q%dk-V~8@1OQdzKMW;OzH}b%TgfF+uGCF)PM43-x1N_ w0_D=to~2H0ejMaT22n8%Q(b{VfkkjKF9U-xuK+_n0|UckAT2!kA{VfkjZ5SAbzMF9Sn90|Nt?J^3NaasXti2HgMv diff --git a/tests/isa/generated/rv32ui-p-slt.dump b/tests/isa/generated/rv32ui-p-slt.dump index 289d843..731bf36 100644 --- a/tests/isa/generated/rv32ui-p-slt.dump +++ b/tests/isa/generated/rv32ui-p-slt.dump @@ -389,15 +389,15 @@ Disassembly of section .text.init: 4cc: 00301863 bne zero,gp,4dc 000004d0 : - 4d0: 00100d13 li s10,1 - 4d4: 00000d93 li s11,0 + 4d0: 00000d93 li s11,0 + 4d4: 00100d13 li s10,1 000004d8 : 4d8: 0000006f j 4d8 000004dc : - 4dc: 00100d13 li s10,1 - 4e0: 00100d93 li s11,1 + 4dc: 00100d93 li s11,1 + 4e0: 00100d13 li s10,1 000004e4 : 4e4: 0000006f j 4e4 @@ -410,3 +410,18 @@ Disassembly of section .tohost: 00000580 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-slt.mem b/tests/isa/generated/rv32ui-p-slt.mem new file mode 100644 index 0000000..fd1e74e --- /dev/null +++ b/tests/isa/generated/rv32ui-p-slt.mem @@ -0,0 +1,354 @@ +00000d13 +00000d93 +00000093 +00000113 +0020af33 +00000e93 +00200193 +4bdf1a63 +00100093 +00100113 +0020af33 +00000e93 +00300193 +49df1e63 +00300093 +00700113 +0020af33 +00100e93 +00400193 +49df1263 +00700093 +00300113 +0020af33 +00000e93 +00500193 +47df1663 +00000093 +ffff8137 +0020af33 +00000e93 +00600193 +45df1a63 +800000b7 +00000113 +0020af33 +00100e93 +00700193 +43df1e63 +800000b7 +ffff8137 +0020af33 +00100e93 +00800193 +43df1263 +00000093 +00008137 +fff10113 +0020af33 +00100e93 +00900193 +41df1463 +800000b7 +fff08093 +00000113 +0020af33 +00000e93 +00a00193 +3fdf1663 +800000b7 +fff08093 +00008137 +fff10113 +0020af33 +00000e93 +00b00193 +3ddf1663 +800000b7 +00008137 +fff10113 +0020af33 +00100e93 +00c00193 +3bdf1863 +800000b7 +fff08093 +ffff8137 +0020af33 +00000e93 +00d00193 +39df1a63 +00000093 +fff00113 +0020af33 +00000e93 +00e00193 +37df1e63 +fff00093 +00100113 +0020af33 +00100e93 +00f00193 +37df1263 +fff00093 +fff00113 +0020af33 +00000e93 +01000193 +35df1663 +00e00093 +00d00113 +0020a0b3 +00000e93 +01100193 +33d09a63 +00b00093 +00d00113 +0020a133 +00100e93 +01200193 +31d11e63 +00d00093 +0010a0b3 +00000e93 +01300193 +31d09463 +00000213 +00b00093 +00d00113 +0020af33 +000f0313 +00120213 +00200293 +fe5214e3 +00100e93 +01400193 +2dd31e63 +00000213 +00e00093 +00d00113 +0020af33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00000e93 +01500193 +2bd31663 +00000213 +00c00093 +00d00113 +0020af33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +00100e93 +01600193 +27d31c63 +00000213 +00e00093 +00d00113 +0020af33 +00120213 +00200293 +fe5216e3 +00000e93 +01700193 +25df1863 +00000213 +00b00093 +00d00113 +00000013 +0020af33 +00120213 +00200293 +fe5214e3 +00100e93 +01800193 +23df1263 +00000213 +00f00093 +00d00113 +00000013 +00000013 +0020af33 +00120213 +00200293 +fe5212e3 +00000e93 +01900193 +1fdf1a63 +00000213 +00a00093 +00000013 +00d00113 +0020af33 +00120213 +00200293 +fe5214e3 +00100e93 +01a00193 +1ddf1463 +00000213 +01000093 +00000013 +00d00113 +00000013 +0020af33 +00120213 +00200293 +fe5212e3 +00000e93 +01b00193 +19df1c63 +00000213 +00900093 +00000013 +00000013 +00d00113 +0020af33 +00120213 +00200293 +fe5212e3 +00100e93 +01c00193 +17df1463 +00000213 +00d00113 +01100093 +0020af33 +00120213 +00200293 +fe5216e3 +00000e93 +01d00193 +15df1063 +00000213 +00d00113 +00800093 +00000013 +0020af33 +00120213 +00200293 +fe5214e3 +00100e93 +01e00193 +11df1a63 +00000213 +00d00113 +01200093 +00000013 +00000013 +0020af33 +00120213 +00200293 +fe5212e3 +00000e93 +01f00193 +0fdf1263 +00000213 +00d00113 +00000013 +00700093 +0020af33 +00120213 +00200293 +fe5214e3 +00100e93 +02000193 +0bdf1c63 +00000213 +00d00113 +00000013 +01300093 +00000013 +0020af33 +00120213 +00200293 +fe5212e3 +00000e93 +02100193 +09df1463 +00000213 +00d00113 +00000013 +00000013 +00600093 +0020af33 +00120213 +00200293 +fe5212e3 +00100e93 +02200193 +05df1c63 +fff00093 +00102133 +00000e93 +02300193 +05d11263 +fff00093 +0000a133 +00100e93 +02400193 +03d11863 +000020b3 +00000e93 +02500193 +03d09063 +01000093 +01e00113 +0020a033 +00000e93 +02600193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-slt.verilog b/tests/isa/generated/rv32ui-p-slt.verilog old mode 100644 new mode 100755 index c0768b8..a1fdb75 --- a/tests/isa/generated/rv32ui-p-slt.verilog +++ b/tests/isa/generated/rv32ui-p-slt.verilog @@ -1,88 +1,88 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 -33 AF 20 00 93 0E 00 00 93 01 20 00 63 1A DF 4B -93 00 10 00 13 01 10 00 33 AF 20 00 93 0E 00 00 -93 01 30 00 63 1E DF 49 93 00 30 00 13 01 70 00 -33 AF 20 00 93 0E 10 00 93 01 40 00 63 12 DF 49 -93 00 70 00 13 01 30 00 33 AF 20 00 93 0E 00 00 -93 01 50 00 63 16 DF 47 93 00 00 00 37 81 FF FF -33 AF 20 00 93 0E 00 00 93 01 60 00 63 1A DF 45 -B7 00 00 80 13 01 00 00 33 AF 20 00 93 0E 10 00 -93 01 70 00 63 1E DF 43 B7 00 00 80 37 81 FF FF -33 AF 20 00 93 0E 10 00 93 01 80 00 63 12 DF 43 -93 00 00 00 37 81 00 00 13 01 F1 FF 33 AF 20 00 -93 0E 10 00 93 01 90 00 63 14 DF 41 B7 00 00 80 -93 80 F0 FF 13 01 00 00 33 AF 20 00 93 0E 00 00 -93 01 A0 00 63 16 DF 3F B7 00 00 80 93 80 F0 FF -37 81 00 00 13 01 F1 FF 33 AF 20 00 93 0E 00 00 -93 01 B0 00 63 16 DF 3D B7 00 00 80 37 81 00 00 -13 01 F1 FF 33 AF 20 00 93 0E 10 00 93 01 C0 00 -63 18 DF 3B B7 00 00 80 93 80 F0 FF 37 81 FF FF -33 AF 20 00 93 0E 00 00 93 01 D0 00 63 1A DF 39 -93 00 00 00 13 01 F0 FF 33 AF 20 00 93 0E 00 00 -93 01 E0 00 63 1E DF 37 93 00 F0 FF 13 01 10 00 -33 AF 20 00 93 0E 10 00 93 01 F0 00 63 12 DF 37 -93 00 F0 FF 13 01 F0 FF 33 AF 20 00 93 0E 00 00 -93 01 00 01 63 16 DF 35 93 00 E0 00 13 01 D0 00 -B3 A0 20 00 93 0E 00 00 93 01 10 01 63 9A D0 33 -93 00 B0 00 13 01 D0 00 33 A1 20 00 93 0E 10 00 -93 01 20 01 63 1E D1 31 93 00 D0 00 B3 A0 10 00 -93 0E 00 00 93 01 30 01 63 94 D0 31 13 02 00 00 -93 00 B0 00 13 01 D0 00 33 AF 20 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 10 00 -93 01 40 01 63 1E D3 2D 13 02 00 00 93 00 E0 00 -13 01 D0 00 33 AF 20 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 00 00 -93 01 50 01 63 16 D3 2B 13 02 00 00 93 00 C0 00 -13 01 D0 00 33 AF 20 00 13 00 00 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE -93 0E 10 00 93 01 60 01 63 1C D3 27 13 02 00 00 -93 00 E0 00 13 01 D0 00 33 AF 20 00 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 70 01 -63 18 DF 25 13 02 00 00 93 00 B0 00 13 01 D0 00 -13 00 00 00 33 AF 20 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 10 00 93 01 80 01 63 12 DF 23 -13 02 00 00 93 00 F0 00 13 01 D0 00 13 00 00 00 -13 00 00 00 33 AF 20 00 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 00 00 93 01 90 01 63 1A DF 1F -13 02 00 00 93 00 A0 00 13 00 00 00 13 01 D0 00 -33 AF 20 00 13 02 12 00 93 02 20 00 E3 14 52 FE -93 0E 10 00 93 01 A0 01 63 14 DF 1D 13 02 00 00 -93 00 00 01 13 00 00 00 13 01 D0 00 13 00 00 00 -33 AF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 00 00 93 01 B0 01 63 1C DF 19 13 02 00 00 -93 00 90 00 13 00 00 00 13 00 00 00 13 01 D0 00 -33 AF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 10 00 93 01 C0 01 63 14 DF 17 13 02 00 00 -13 01 D0 00 93 00 10 01 33 AF 20 00 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 D0 01 -63 10 DF 15 13 02 00 00 13 01 D0 00 93 00 80 00 -13 00 00 00 33 AF 20 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 10 00 93 01 E0 01 63 1A DF 11 -13 02 00 00 13 01 D0 00 93 00 20 01 13 00 00 00 -13 00 00 00 33 AF 20 00 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 00 00 93 01 F0 01 63 12 DF 0F -13 02 00 00 13 01 D0 00 13 00 00 00 93 00 70 00 -33 AF 20 00 13 02 12 00 93 02 20 00 E3 14 52 FE -93 0E 10 00 93 01 00 02 63 1C DF 0B 13 02 00 00 -13 01 D0 00 13 00 00 00 93 00 30 01 13 00 00 00 -33 AF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 00 00 93 01 10 02 63 14 DF 09 13 02 00 00 -13 01 D0 00 13 00 00 00 13 00 00 00 93 00 60 00 -33 AF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 10 00 93 01 20 02 63 1C DF 05 93 00 F0 FF -33 21 10 00 93 0E 00 00 93 01 30 02 63 12 D1 05 -93 00 F0 FF 33 A1 00 00 93 0E 10 00 93 01 40 02 -63 18 D1 03 B3 20 00 00 93 0E 00 00 93 01 50 02 -63 90 D0 03 93 00 00 01 13 01 E0 01 33 A0 20 00 -93 0E 00 00 93 01 60 02 63 14 D0 01 63 18 30 00 -13 0D 10 00 93 0D 00 00 6F 00 00 00 13 0D 10 00 -93 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000540 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 +33 AF 20 00 93 0E 00 00 93 01 20 00 63 1A DF 4B +93 00 10 00 13 01 10 00 33 AF 20 00 93 0E 00 00 +93 01 30 00 63 1E DF 49 93 00 30 00 13 01 70 00 +33 AF 20 00 93 0E 10 00 93 01 40 00 63 12 DF 49 +93 00 70 00 13 01 30 00 33 AF 20 00 93 0E 00 00 +93 01 50 00 63 16 DF 47 93 00 00 00 37 81 FF FF +33 AF 20 00 93 0E 00 00 93 01 60 00 63 1A DF 45 +B7 00 00 80 13 01 00 00 33 AF 20 00 93 0E 10 00 +93 01 70 00 63 1E DF 43 B7 00 00 80 37 81 FF FF +33 AF 20 00 93 0E 10 00 93 01 80 00 63 12 DF 43 +93 00 00 00 37 81 00 00 13 01 F1 FF 33 AF 20 00 +93 0E 10 00 93 01 90 00 63 14 DF 41 B7 00 00 80 +93 80 F0 FF 13 01 00 00 33 AF 20 00 93 0E 00 00 +93 01 A0 00 63 16 DF 3F B7 00 00 80 93 80 F0 FF +37 81 00 00 13 01 F1 FF 33 AF 20 00 93 0E 00 00 +93 01 B0 00 63 16 DF 3D B7 00 00 80 37 81 00 00 +13 01 F1 FF 33 AF 20 00 93 0E 10 00 93 01 C0 00 +63 18 DF 3B B7 00 00 80 93 80 F0 FF 37 81 FF FF +33 AF 20 00 93 0E 00 00 93 01 D0 00 63 1A DF 39 +93 00 00 00 13 01 F0 FF 33 AF 20 00 93 0E 00 00 +93 01 E0 00 63 1E DF 37 93 00 F0 FF 13 01 10 00 +33 AF 20 00 93 0E 10 00 93 01 F0 00 63 12 DF 37 +93 00 F0 FF 13 01 F0 FF 33 AF 20 00 93 0E 00 00 +93 01 00 01 63 16 DF 35 93 00 E0 00 13 01 D0 00 +B3 A0 20 00 93 0E 00 00 93 01 10 01 63 9A D0 33 +93 00 B0 00 13 01 D0 00 33 A1 20 00 93 0E 10 00 +93 01 20 01 63 1E D1 31 93 00 D0 00 B3 A0 10 00 +93 0E 00 00 93 01 30 01 63 94 D0 31 13 02 00 00 +93 00 B0 00 13 01 D0 00 33 AF 20 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 10 00 +93 01 40 01 63 1E D3 2D 13 02 00 00 93 00 E0 00 +13 01 D0 00 33 AF 20 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 00 00 +93 01 50 01 63 16 D3 2B 13 02 00 00 93 00 C0 00 +13 01 D0 00 33 AF 20 00 13 00 00 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE +93 0E 10 00 93 01 60 01 63 1C D3 27 13 02 00 00 +93 00 E0 00 13 01 D0 00 33 AF 20 00 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 70 01 +63 18 DF 25 13 02 00 00 93 00 B0 00 13 01 D0 00 +13 00 00 00 33 AF 20 00 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 10 00 93 01 80 01 63 12 DF 23 +13 02 00 00 93 00 F0 00 13 01 D0 00 13 00 00 00 +13 00 00 00 33 AF 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 00 00 93 01 90 01 63 1A DF 1F +13 02 00 00 93 00 A0 00 13 00 00 00 13 01 D0 00 +33 AF 20 00 13 02 12 00 93 02 20 00 E3 14 52 FE +93 0E 10 00 93 01 A0 01 63 14 DF 1D 13 02 00 00 +93 00 00 01 13 00 00 00 13 01 D0 00 13 00 00 00 +33 AF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 00 00 93 01 B0 01 63 1C DF 19 13 02 00 00 +93 00 90 00 13 00 00 00 13 00 00 00 13 01 D0 00 +33 AF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 10 00 93 01 C0 01 63 14 DF 17 13 02 00 00 +13 01 D0 00 93 00 10 01 33 AF 20 00 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 D0 01 +63 10 DF 15 13 02 00 00 13 01 D0 00 93 00 80 00 +13 00 00 00 33 AF 20 00 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 10 00 93 01 E0 01 63 1A DF 11 +13 02 00 00 13 01 D0 00 93 00 20 01 13 00 00 00 +13 00 00 00 33 AF 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 00 00 93 01 F0 01 63 12 DF 0F +13 02 00 00 13 01 D0 00 13 00 00 00 93 00 70 00 +33 AF 20 00 13 02 12 00 93 02 20 00 E3 14 52 FE +93 0E 10 00 93 01 00 02 63 1C DF 0B 13 02 00 00 +13 01 D0 00 13 00 00 00 93 00 30 01 13 00 00 00 +33 AF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 00 00 93 01 10 02 63 14 DF 09 13 02 00 00 +13 01 D0 00 13 00 00 00 13 00 00 00 93 00 60 00 +33 AF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 10 00 93 01 20 02 63 1C DF 05 93 00 F0 FF +33 21 10 00 93 0E 00 00 93 01 30 02 63 12 D1 05 +93 00 F0 FF 33 A1 00 00 93 0E 10 00 93 01 40 02 +63 18 D1 03 B3 20 00 00 93 0E 00 00 93 01 50 02 +63 90 D0 03 93 00 00 01 13 01 E0 01 33 A0 20 00 +93 0E 00 00 93 01 60 02 63 14 D0 01 63 18 30 00 +93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 +13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000540 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-slti b/tests/isa/generated/rv32ui-p-slti old mode 100644 new mode 100755 index 2ad502285873cb1c86387a31cea3e5e18038b84d..d5023f2de41fbf9f158fb296dbea7ede0b9ffa5d GIT binary patch delta 821 zcmYk)ze@sP7zgm@{Lv3eGA}!gAf*POvO}X<0)wEU0tbhPhD;-jAW?G?&cLCeLAl1p z28Wg?XlZb$p{1n={{p9$mZqY<_g<&Z3-9^;JbLf@`0|$3hFT5CA%(=4r2t73qHgNC ziLQCPx{FA5x#<|+>i877mb~{b`BBMb@~I+81BlLCF&fE4vT7|krl;j0O)U5Su#+9D zc7}sKfBV6nc1faVB1xt}wsARc3J-ZWJ-xWTTcDgg1@Cmx$)WjfQ>@SM8Q< zWJ9+x=02l)W=f^hgTs~e?b~~zLqst#vZsl x#(lAdq@OCvbQ;wx+IONH#B^X9-S=YtZ;C|p<|XpzPs+HjHH`j5&3ssg`v*93M~VOd delta 761 zcmYk&JxBs!7zgm@Orr?l=rV;Gq=JGzI4Lk%Qj$`#a4-nEp;IIR<4hY0Bn=vBQ?8}4 z%|>Zzv#rKxu_2-{h#*=Tiu&K#ozDyRzW?8I_ul)_kjrw#FNt0<+YI?hpfTzsSA9Cx z>yg|d>24woZ(CU;t*SogWvQmvEA~4i}lP;TrP|++@CkznSmh5$i@vxWY#i5>^3zfj61I;1lLo_?Y<1oO!_B3{gtNflG)XQtNz9{MC=g7>(_9ejUIvDI1_lN&dvY(+ZUAVa2D< 0000027c : - 27c: 00100d13 li s10,1 - 280: 00000d93 li s11,0 + 27c: 00000d93 li s11,0 + 280: 00100d13 li s10,1 00000284 : 284: 0000006f j 284 00000288 : - 288: 00100d13 li s10,1 - 28c: 00100d93 li s11,1 + 288: 00100d93 li s11,1 + 28c: 00100d13 li s10,1 00000290 : 290: 0000006f j 290 @@ -235,3 +235,18 @@ Disassembly of section .tohost: 00000340 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-slti.mem b/tests/isa/generated/rv32ui-p-slti.mem new file mode 100644 index 0000000..6dc012b --- /dev/null +++ b/tests/isa/generated/rv32ui-p-slti.mem @@ -0,0 +1,210 @@ +00000d13 +00000d93 +00000093 +0000af13 +00000e93 +00200193 +27df1263 +00100093 +0010af13 +00000e93 +00300193 +25df1863 +00300093 +0070af13 +00100e93 +00400193 +23df1e63 +00700093 +0030af13 +00000e93 +00500193 +23df1463 +00000093 +8000af13 +00000e93 +00600193 +21df1a63 +800000b7 +0000af13 +00100e93 +00700193 +21df1063 +800000b7 +8000af13 +00100e93 +00800193 +1fdf1663 +00000093 +7ff0af13 +00100e93 +00900193 +1ddf1c63 +800000b7 +fff08093 +0000af13 +00000e93 +00a00193 +1ddf1063 +800000b7 +fff08093 +7ff0af13 +00000e93 +00b00193 +1bdf1463 +800000b7 +7ff0af13 +00100e93 +00c00193 +19df1a63 +800000b7 +fff08093 +8000af13 +00000e93 +00d00193 +17df1e63 +00000093 +fff0af13 +00000e93 +00e00193 +17df1463 +fff00093 +0010af13 +00100e93 +00f00193 +15df1a63 +fff00093 +fff0af13 +00000e93 +01000193 +15df1063 +00b00093 +00d0a093 +00100e93 +01100193 +13d09663 +00000213 +00f00093 +00a0af13 +000f0313 +00120213 +00200293 +fe5216e3 +00000e93 +01200193 +11d31263 +00000213 +00a00093 +0100af13 +00000013 +000f0313 +00120213 +00200293 +fe5214e3 +00100e93 +01300193 +0dd31c63 +00000213 +01000093 +0090af13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00000e93 +01400193 +0bd31463 +00000213 +00b00093 +00f0af13 +00120213 +00200293 +fe5218e3 +00100e93 +01500193 +09df1263 +00000213 +01100093 +00000013 +0080af13 +00120213 +00200293 +fe5216e3 +00000e93 +01600193 +05df1e63 +00000213 +00c00093 +00000013 +00000013 +00e0af13 +00120213 +00200293 +fe5214e3 +00100e93 +01700193 +03df1863 +fff02093 +00000e93 +01800193 +03d09063 +00ff00b7 +0ff08093 +fff0a013 +00000e93 +01900193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-slti.verilog b/tests/isa/generated/rv32ui-p-slti.verilog old mode 100644 new mode 100755 index 921d8ad..d91e95c --- a/tests/isa/generated/rv32ui-p-slti.verilog +++ b/tests/isa/generated/rv32ui-p-slti.verilog @@ -1,52 +1,52 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 AF 00 00 -93 0E 00 00 93 01 20 00 63 12 DF 27 93 00 10 00 -13 AF 10 00 93 0E 00 00 93 01 30 00 63 18 DF 25 -93 00 30 00 13 AF 70 00 93 0E 10 00 93 01 40 00 -63 1E DF 23 93 00 70 00 13 AF 30 00 93 0E 00 00 -93 01 50 00 63 14 DF 23 93 00 00 00 13 AF 00 80 -93 0E 00 00 93 01 60 00 63 1A DF 21 B7 00 00 80 -13 AF 00 00 93 0E 10 00 93 01 70 00 63 10 DF 21 -B7 00 00 80 13 AF 00 80 93 0E 10 00 93 01 80 00 -63 16 DF 1F 93 00 00 00 13 AF F0 7F 93 0E 10 00 -93 01 90 00 63 1C DF 1D B7 00 00 80 93 80 F0 FF -13 AF 00 00 93 0E 00 00 93 01 A0 00 63 10 DF 1D -B7 00 00 80 93 80 F0 FF 13 AF F0 7F 93 0E 00 00 -93 01 B0 00 63 14 DF 1B B7 00 00 80 13 AF F0 7F -93 0E 10 00 93 01 C0 00 63 1A DF 19 B7 00 00 80 -93 80 F0 FF 13 AF 00 80 93 0E 00 00 93 01 D0 00 -63 1E DF 17 93 00 00 00 13 AF F0 FF 93 0E 00 00 -93 01 E0 00 63 14 DF 17 93 00 F0 FF 13 AF 10 00 -93 0E 10 00 93 01 F0 00 63 1A DF 15 93 00 F0 FF -13 AF F0 FF 93 0E 00 00 93 01 00 01 63 10 DF 15 -93 00 B0 00 93 A0 D0 00 93 0E 10 00 93 01 10 01 -63 96 D0 13 13 02 00 00 93 00 F0 00 13 AF A0 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 16 52 FE -93 0E 00 00 93 01 20 01 63 12 D3 11 13 02 00 00 -93 00 A0 00 13 AF 00 01 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 10 00 -93 01 30 01 63 1C D3 0D 13 02 00 00 93 00 00 01 -13 AF 90 00 13 00 00 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 00 00 -93 01 40 01 63 14 D3 0B 13 02 00 00 93 00 B0 00 -13 AF F0 00 13 02 12 00 93 02 20 00 E3 18 52 FE -93 0E 10 00 93 01 50 01 63 12 DF 09 13 02 00 00 -93 00 10 01 13 00 00 00 13 AF 80 00 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 60 01 -63 1E DF 05 13 02 00 00 93 00 C0 00 13 00 00 00 -13 00 00 00 13 AF E0 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 10 00 93 01 70 01 63 18 DF 03 -93 20 F0 FF 93 0E 00 00 93 01 80 01 63 90 D0 03 -B7 00 FF 00 93 80 F0 0F 13 A0 F0 FF 93 0E 00 00 -93 01 90 01 63 14 D0 01 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000300 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 00 00 13 AF 00 00 +93 0E 00 00 93 01 20 00 63 12 DF 27 93 00 10 00 +13 AF 10 00 93 0E 00 00 93 01 30 00 63 18 DF 25 +93 00 30 00 13 AF 70 00 93 0E 10 00 93 01 40 00 +63 1E DF 23 93 00 70 00 13 AF 30 00 93 0E 00 00 +93 01 50 00 63 14 DF 23 93 00 00 00 13 AF 00 80 +93 0E 00 00 93 01 60 00 63 1A DF 21 B7 00 00 80 +13 AF 00 00 93 0E 10 00 93 01 70 00 63 10 DF 21 +B7 00 00 80 13 AF 00 80 93 0E 10 00 93 01 80 00 +63 16 DF 1F 93 00 00 00 13 AF F0 7F 93 0E 10 00 +93 01 90 00 63 1C DF 1D B7 00 00 80 93 80 F0 FF +13 AF 00 00 93 0E 00 00 93 01 A0 00 63 10 DF 1D +B7 00 00 80 93 80 F0 FF 13 AF F0 7F 93 0E 00 00 +93 01 B0 00 63 14 DF 1B B7 00 00 80 13 AF F0 7F +93 0E 10 00 93 01 C0 00 63 1A DF 19 B7 00 00 80 +93 80 F0 FF 13 AF 00 80 93 0E 00 00 93 01 D0 00 +63 1E DF 17 93 00 00 00 13 AF F0 FF 93 0E 00 00 +93 01 E0 00 63 14 DF 17 93 00 F0 FF 13 AF 10 00 +93 0E 10 00 93 01 F0 00 63 1A DF 15 93 00 F0 FF +13 AF F0 FF 93 0E 00 00 93 01 00 01 63 10 DF 15 +93 00 B0 00 93 A0 D0 00 93 0E 10 00 93 01 10 01 +63 96 D0 13 13 02 00 00 93 00 F0 00 13 AF A0 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 16 52 FE +93 0E 00 00 93 01 20 01 63 12 D3 11 13 02 00 00 +93 00 A0 00 13 AF 00 01 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 10 00 +93 01 30 01 63 1C D3 0D 13 02 00 00 93 00 00 01 +13 AF 90 00 13 00 00 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 00 00 +93 01 40 01 63 14 D3 0B 13 02 00 00 93 00 B0 00 +13 AF F0 00 13 02 12 00 93 02 20 00 E3 18 52 FE +93 0E 10 00 93 01 50 01 63 12 DF 09 13 02 00 00 +93 00 10 01 13 00 00 00 13 AF 80 00 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 60 01 +63 1E DF 05 13 02 00 00 93 00 C0 00 13 00 00 00 +13 00 00 00 13 AF E0 00 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 10 00 93 01 70 01 63 18 DF 03 +93 20 F0 FF 93 0E 00 00 93 01 80 01 63 90 D0 03 +B7 00 FF 00 93 80 F0 0F 13 A0 F0 FF 93 0E 00 00 +93 01 90 01 63 14 D0 01 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000300 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-sltiu b/tests/isa/generated/rv32ui-p-sltiu old mode 100644 new mode 100755 index aa0ba18f3ef8750927cc0f995fac79aee4f70f84..2dd04c4afefc1bd558cb13c52f0a7bd9b3a12372 GIT binary patch delta 821 zcmYk)ze@sP7zgm@{Lv3ex->hDAf*POGSjG*z@osYz@ed`p-UTtAkoW7(7>UgLAl1p z28Wg?XlZb$p{1n={{p9$mZqY<_nxQE3-9^;JbLf@`0|z`jYu`%2`MDT0tHB-5cN{e zZEVx+)m=m$mrN)4R>!BvHTA*2>PID)$)^e=4Iw&ng;+Eb%|>eKgr4?{l*DrFhn?(L zwL2X2^*0FibxIPwAQx>`K_U<0xJA4@h8$rIBCj)tkoUSS(HwBxEzlxznRx~IlG#|S z&b*D>VBSS;GVddQGN+Lpoqt)9#OA(EK_U%5m|-r$apnrV%X|SBnT=-a%vW%e`5OMQ zT*8svpfJUb^d3$!Kf(vhZ}2(u6I@|_g{#csG-MPpWU^7q48l7`;af!WA)}#R#Dv|l zjcn*P&OBgr&rGS*R$@)vn7{k*E=j{-ao@tKrsXn+r`l1zrcUe2N-`KWU(1>+V-H*& xb;@os+9Y{NqG4(ydvzk( z<#IY?(j7z@ylrHeGG+BaFFLymQe%$M+vwxhkJN6}Bzi~Ax0nTqrV#r~Vwo9aAM+e? zn0X0#ojHM=Zn{7lK(Se%B=Q;a7IKAo2f4<4fLv!jLVjaDMYdU|l&B1QEaUv=D2!SK zi7wzE^Cg^MuEKfdYq-LE1J{}F;BV%8c+kAj0ejUIvDI1_lN&dvY(+ZUAVa2D< 0000027c : - 27c: 00100d13 li s10,1 - 280: 00000d93 li s11,0 + 27c: 00000d93 li s11,0 + 280: 00100d13 li s10,1 00000284 : 284: 0000006f j 284 00000288 : - 288: 00100d13 li s10,1 - 28c: 00100d93 li s11,1 + 288: 00100d93 li s11,1 + 28c: 00100d13 li s10,1 00000290 : 290: 0000006f j 290 @@ -235,3 +235,18 @@ Disassembly of section .tohost: 00000340 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-sltiu.mem b/tests/isa/generated/rv32ui-p-sltiu.mem new file mode 100644 index 0000000..e4da9cc --- /dev/null +++ b/tests/isa/generated/rv32ui-p-sltiu.mem @@ -0,0 +1,210 @@ +00000d13 +00000d93 +00000093 +0000bf13 +00000e93 +00200193 +27df1263 +00100093 +0010bf13 +00000e93 +00300193 +25df1863 +00300093 +0070bf13 +00100e93 +00400193 +23df1e63 +00700093 +0030bf13 +00000e93 +00500193 +23df1463 +00000093 +8000bf13 +00100e93 +00600193 +21df1a63 +800000b7 +0000bf13 +00000e93 +00700193 +21df1063 +800000b7 +8000bf13 +00100e93 +00800193 +1fdf1663 +00000093 +7ff0bf13 +00100e93 +00900193 +1ddf1c63 +800000b7 +fff08093 +0000bf13 +00000e93 +00a00193 +1ddf1063 +800000b7 +fff08093 +7ff0bf13 +00000e93 +00b00193 +1bdf1463 +800000b7 +7ff0bf13 +00000e93 +00c00193 +19df1a63 +800000b7 +fff08093 +8000bf13 +00100e93 +00d00193 +17df1e63 +00000093 +fff0bf13 +00100e93 +00e00193 +17df1463 +fff00093 +0010bf13 +00000e93 +00f00193 +15df1a63 +fff00093 +fff0bf13 +00000e93 +01000193 +15df1063 +00b00093 +00d0b093 +00100e93 +01100193 +13d09663 +00000213 +00f00093 +00a0bf13 +000f0313 +00120213 +00200293 +fe5216e3 +00000e93 +01200193 +11d31263 +00000213 +00a00093 +0100bf13 +00000013 +000f0313 +00120213 +00200293 +fe5214e3 +00100e93 +01300193 +0dd31c63 +00000213 +01000093 +0090bf13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00000e93 +01400193 +0bd31463 +00000213 +00b00093 +00f0bf13 +00120213 +00200293 +fe5218e3 +00100e93 +01500193 +09df1263 +00000213 +01100093 +00000013 +0080bf13 +00120213 +00200293 +fe5216e3 +00000e93 +01600193 +05df1e63 +00000213 +00c00093 +00000013 +00000013 +00e0bf13 +00120213 +00200293 +fe5214e3 +00100e93 +01700193 +03df1863 +fff03093 +00100e93 +01800193 +03d09063 +00ff00b7 +0ff08093 +fff0b013 +00000e93 +01900193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-sltiu.verilog b/tests/isa/generated/rv32ui-p-sltiu.verilog old mode 100644 new mode 100755 index 2315f1f..c77cd44 --- a/tests/isa/generated/rv32ui-p-sltiu.verilog +++ b/tests/isa/generated/rv32ui-p-sltiu.verilog @@ -1,52 +1,52 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 BF 00 00 -93 0E 00 00 93 01 20 00 63 12 DF 27 93 00 10 00 -13 BF 10 00 93 0E 00 00 93 01 30 00 63 18 DF 25 -93 00 30 00 13 BF 70 00 93 0E 10 00 93 01 40 00 -63 1E DF 23 93 00 70 00 13 BF 30 00 93 0E 00 00 -93 01 50 00 63 14 DF 23 93 00 00 00 13 BF 00 80 -93 0E 10 00 93 01 60 00 63 1A DF 21 B7 00 00 80 -13 BF 00 00 93 0E 00 00 93 01 70 00 63 10 DF 21 -B7 00 00 80 13 BF 00 80 93 0E 10 00 93 01 80 00 -63 16 DF 1F 93 00 00 00 13 BF F0 7F 93 0E 10 00 -93 01 90 00 63 1C DF 1D B7 00 00 80 93 80 F0 FF -13 BF 00 00 93 0E 00 00 93 01 A0 00 63 10 DF 1D -B7 00 00 80 93 80 F0 FF 13 BF F0 7F 93 0E 00 00 -93 01 B0 00 63 14 DF 1B B7 00 00 80 13 BF F0 7F -93 0E 00 00 93 01 C0 00 63 1A DF 19 B7 00 00 80 -93 80 F0 FF 13 BF 00 80 93 0E 10 00 93 01 D0 00 -63 1E DF 17 93 00 00 00 13 BF F0 FF 93 0E 10 00 -93 01 E0 00 63 14 DF 17 93 00 F0 FF 13 BF 10 00 -93 0E 00 00 93 01 F0 00 63 1A DF 15 93 00 F0 FF -13 BF F0 FF 93 0E 00 00 93 01 00 01 63 10 DF 15 -93 00 B0 00 93 B0 D0 00 93 0E 10 00 93 01 10 01 -63 96 D0 13 13 02 00 00 93 00 F0 00 13 BF A0 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 16 52 FE -93 0E 00 00 93 01 20 01 63 12 D3 11 13 02 00 00 -93 00 A0 00 13 BF 00 01 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 10 00 -93 01 30 01 63 1C D3 0D 13 02 00 00 93 00 00 01 -13 BF 90 00 13 00 00 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 00 00 -93 01 40 01 63 14 D3 0B 13 02 00 00 93 00 B0 00 -13 BF F0 00 13 02 12 00 93 02 20 00 E3 18 52 FE -93 0E 10 00 93 01 50 01 63 12 DF 09 13 02 00 00 -93 00 10 01 13 00 00 00 13 BF 80 00 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 60 01 -63 1E DF 05 13 02 00 00 93 00 C0 00 13 00 00 00 -13 00 00 00 13 BF E0 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 10 00 93 01 70 01 63 18 DF 03 -93 30 F0 FF 93 0E 10 00 93 01 80 01 63 90 D0 03 -B7 00 FF 00 93 80 F0 0F 13 B0 F0 FF 93 0E 00 00 -93 01 90 01 63 14 D0 01 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000300 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 00 00 13 BF 00 00 +93 0E 00 00 93 01 20 00 63 12 DF 27 93 00 10 00 +13 BF 10 00 93 0E 00 00 93 01 30 00 63 18 DF 25 +93 00 30 00 13 BF 70 00 93 0E 10 00 93 01 40 00 +63 1E DF 23 93 00 70 00 13 BF 30 00 93 0E 00 00 +93 01 50 00 63 14 DF 23 93 00 00 00 13 BF 00 80 +93 0E 10 00 93 01 60 00 63 1A DF 21 B7 00 00 80 +13 BF 00 00 93 0E 00 00 93 01 70 00 63 10 DF 21 +B7 00 00 80 13 BF 00 80 93 0E 10 00 93 01 80 00 +63 16 DF 1F 93 00 00 00 13 BF F0 7F 93 0E 10 00 +93 01 90 00 63 1C DF 1D B7 00 00 80 93 80 F0 FF +13 BF 00 00 93 0E 00 00 93 01 A0 00 63 10 DF 1D +B7 00 00 80 93 80 F0 FF 13 BF F0 7F 93 0E 00 00 +93 01 B0 00 63 14 DF 1B B7 00 00 80 13 BF F0 7F +93 0E 00 00 93 01 C0 00 63 1A DF 19 B7 00 00 80 +93 80 F0 FF 13 BF 00 80 93 0E 10 00 93 01 D0 00 +63 1E DF 17 93 00 00 00 13 BF F0 FF 93 0E 10 00 +93 01 E0 00 63 14 DF 17 93 00 F0 FF 13 BF 10 00 +93 0E 00 00 93 01 F0 00 63 1A DF 15 93 00 F0 FF +13 BF F0 FF 93 0E 00 00 93 01 00 01 63 10 DF 15 +93 00 B0 00 93 B0 D0 00 93 0E 10 00 93 01 10 01 +63 96 D0 13 13 02 00 00 93 00 F0 00 13 BF A0 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 16 52 FE +93 0E 00 00 93 01 20 01 63 12 D3 11 13 02 00 00 +93 00 A0 00 13 BF 00 01 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 10 00 +93 01 30 01 63 1C D3 0D 13 02 00 00 93 00 00 01 +13 BF 90 00 13 00 00 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 00 00 +93 01 40 01 63 14 D3 0B 13 02 00 00 93 00 B0 00 +13 BF F0 00 13 02 12 00 93 02 20 00 E3 18 52 FE +93 0E 10 00 93 01 50 01 63 12 DF 09 13 02 00 00 +93 00 10 01 13 00 00 00 13 BF 80 00 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 60 01 +63 1E DF 05 13 02 00 00 93 00 C0 00 13 00 00 00 +13 00 00 00 13 BF E0 00 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 10 00 93 01 70 01 63 18 DF 03 +93 30 F0 FF 93 0E 10 00 93 01 80 01 63 90 D0 03 +B7 00 FF 00 93 80 F0 0F 13 B0 F0 FF 93 0E 00 00 +93 01 90 01 63 14 D0 01 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000300 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-sltu b/tests/isa/generated/rv32ui-p-sltu old mode 100644 new mode 100755 index c8bbf26fa7aaf4c1ada0d822882575ded53398c3..b5fe71c0c1a026de8ce38f7611df83ba66022343 GIT binary patch delta 1024 zcmZA0JxD@P6bJBg{ip^bLjy}gqXwa{Pb{e51vLZ_1q~Gum<1U@qSg?B4Gj(r%4=vS zau8Y?j2avo8X6rM8X6i3BpMnD8XAK7->2_+=nVIs-|@cl?t9Ps9V`r{eU5AkX)!=P z66B{^s=4exbvCN?M2>npZQ)lHvqODxo;Qy*gRw|FyhEY^sWrCK-xukN_GCg{HP_@! zYP~9hnapTe4GVq#+Q2%aB&Zh)?k##jkOQetC$5&e8)9xlKEv!szFu{bx`F*_fr7}7 znMaZ5na7bAnWvDyG0!2dGoC`w65PSO3M+<_f;Lf@HwuChaFlruPB9{8yzs@?TB{)!2`Z&@vYb@! z*m82St)yfVP2sMVm1o;wTrN;9?6!!|pV9g>`uuStWtcTV0~m0dW)uX~Bf1P?nr39R zrZ+)t2t5XIzYBScxes~i&k-61w*CqfKt5(3N4{a6Mt)_UL(VXFA!r#cVP1nCg>a2CT4-jCzvzgP39c=tbe44H$-Bm_smGwe8}PLg9a2QJrj7yl)+jTJ(ZCegj@&-hx{+ z*QoEs*?JqL{%~j}EcrS--R+%=ZpkCdt^QfR{VfkkjKF9U-xuK+_n0|UckAT2!kA{VfkjZ5SAbzMF9Sn90|Nt?J^3NaasXti2HgMv diff --git a/tests/isa/generated/rv32ui-p-sltu.dump b/tests/isa/generated/rv32ui-p-sltu.dump index 780dc20..16e4911 100644 --- a/tests/isa/generated/rv32ui-p-sltu.dump +++ b/tests/isa/generated/rv32ui-p-sltu.dump @@ -389,15 +389,15 @@ Disassembly of section .text.init: 4cc: 00301863 bne zero,gp,4dc 000004d0 : - 4d0: 00100d13 li s10,1 - 4d4: 00000d93 li s11,0 + 4d0: 00000d93 li s11,0 + 4d4: 00100d13 li s10,1 000004d8 : 4d8: 0000006f j 4d8 000004dc : - 4dc: 00100d13 li s10,1 - 4e0: 00100d93 li s11,1 + 4dc: 00100d93 li s11,1 + 4e0: 00100d13 li s10,1 000004e4 : 4e4: 0000006f j 4e4 @@ -410,3 +410,18 @@ Disassembly of section .tohost: 00000580 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-sltu.mem b/tests/isa/generated/rv32ui-p-sltu.mem new file mode 100644 index 0000000..40e5760 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-sltu.mem @@ -0,0 +1,354 @@ +00000d13 +00000d93 +00000093 +00000113 +0020bf33 +00000e93 +00200193 +4bdf1a63 +00100093 +00100113 +0020bf33 +00000e93 +00300193 +49df1e63 +00300093 +00700113 +0020bf33 +00100e93 +00400193 +49df1263 +00700093 +00300113 +0020bf33 +00000e93 +00500193 +47df1663 +00000093 +ffff8137 +0020bf33 +00100e93 +00600193 +45df1a63 +800000b7 +00000113 +0020bf33 +00000e93 +00700193 +43df1e63 +800000b7 +ffff8137 +0020bf33 +00100e93 +00800193 +43df1263 +00000093 +00008137 +fff10113 +0020bf33 +00100e93 +00900193 +41df1463 +800000b7 +fff08093 +00000113 +0020bf33 +00000e93 +00a00193 +3fdf1663 +800000b7 +fff08093 +00008137 +fff10113 +0020bf33 +00000e93 +00b00193 +3ddf1663 +800000b7 +00008137 +fff10113 +0020bf33 +00000e93 +00c00193 +3bdf1863 +800000b7 +fff08093 +ffff8137 +0020bf33 +00100e93 +00d00193 +39df1a63 +00000093 +fff00113 +0020bf33 +00100e93 +00e00193 +37df1e63 +fff00093 +00100113 +0020bf33 +00000e93 +00f00193 +37df1263 +fff00093 +fff00113 +0020bf33 +00000e93 +01000193 +35df1663 +00e00093 +00d00113 +0020b0b3 +00000e93 +01100193 +33d09a63 +00b00093 +00d00113 +0020b133 +00100e93 +01200193 +31d11e63 +00d00093 +0010b0b3 +00000e93 +01300193 +31d09463 +00000213 +00b00093 +00d00113 +0020bf33 +000f0313 +00120213 +00200293 +fe5214e3 +00100e93 +01400193 +2dd31e63 +00000213 +00e00093 +00d00113 +0020bf33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00000e93 +01500193 +2bd31663 +00000213 +00c00093 +00d00113 +0020bf33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +00100e93 +01600193 +27d31c63 +00000213 +00e00093 +00d00113 +0020bf33 +00120213 +00200293 +fe5216e3 +00000e93 +01700193 +25df1863 +00000213 +00b00093 +00d00113 +00000013 +0020bf33 +00120213 +00200293 +fe5214e3 +00100e93 +01800193 +23df1263 +00000213 +00f00093 +00d00113 +00000013 +00000013 +0020bf33 +00120213 +00200293 +fe5212e3 +00000e93 +01900193 +1fdf1a63 +00000213 +00a00093 +00000013 +00d00113 +0020bf33 +00120213 +00200293 +fe5214e3 +00100e93 +01a00193 +1ddf1463 +00000213 +01000093 +00000013 +00d00113 +00000013 +0020bf33 +00120213 +00200293 +fe5212e3 +00000e93 +01b00193 +19df1c63 +00000213 +00900093 +00000013 +00000013 +00d00113 +0020bf33 +00120213 +00200293 +fe5212e3 +00100e93 +01c00193 +17df1463 +00000213 +00d00113 +01100093 +0020bf33 +00120213 +00200293 +fe5216e3 +00000e93 +01d00193 +15df1063 +00000213 +00d00113 +00800093 +00000013 +0020bf33 +00120213 +00200293 +fe5214e3 +00100e93 +01e00193 +11df1a63 +00000213 +00d00113 +01200093 +00000013 +00000013 +0020bf33 +00120213 +00200293 +fe5212e3 +00000e93 +01f00193 +0fdf1263 +00000213 +00d00113 +00000013 +00700093 +0020bf33 +00120213 +00200293 +fe5214e3 +00100e93 +02000193 +0bdf1c63 +00000213 +00d00113 +00000013 +01300093 +00000013 +0020bf33 +00120213 +00200293 +fe5212e3 +00000e93 +02100193 +09df1463 +00000213 +00d00113 +00000013 +00000013 +00600093 +0020bf33 +00120213 +00200293 +fe5212e3 +00100e93 +02200193 +05df1c63 +fff00093 +00103133 +00100e93 +02300193 +05d11263 +fff00093 +0000b133 +00000e93 +02400193 +03d11863 +000030b3 +00000e93 +02500193 +03d09063 +01000093 +01e00113 +0020b033 +00000e93 +02600193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-sltu.verilog b/tests/isa/generated/rv32ui-p-sltu.verilog old mode 100644 new mode 100755 index 755e67c..4d62778 --- a/tests/isa/generated/rv32ui-p-sltu.verilog +++ b/tests/isa/generated/rv32ui-p-sltu.verilog @@ -1,88 +1,88 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 -33 BF 20 00 93 0E 00 00 93 01 20 00 63 1A DF 4B -93 00 10 00 13 01 10 00 33 BF 20 00 93 0E 00 00 -93 01 30 00 63 1E DF 49 93 00 30 00 13 01 70 00 -33 BF 20 00 93 0E 10 00 93 01 40 00 63 12 DF 49 -93 00 70 00 13 01 30 00 33 BF 20 00 93 0E 00 00 -93 01 50 00 63 16 DF 47 93 00 00 00 37 81 FF FF -33 BF 20 00 93 0E 10 00 93 01 60 00 63 1A DF 45 -B7 00 00 80 13 01 00 00 33 BF 20 00 93 0E 00 00 -93 01 70 00 63 1E DF 43 B7 00 00 80 37 81 FF FF -33 BF 20 00 93 0E 10 00 93 01 80 00 63 12 DF 43 -93 00 00 00 37 81 00 00 13 01 F1 FF 33 BF 20 00 -93 0E 10 00 93 01 90 00 63 14 DF 41 B7 00 00 80 -93 80 F0 FF 13 01 00 00 33 BF 20 00 93 0E 00 00 -93 01 A0 00 63 16 DF 3F B7 00 00 80 93 80 F0 FF -37 81 00 00 13 01 F1 FF 33 BF 20 00 93 0E 00 00 -93 01 B0 00 63 16 DF 3D B7 00 00 80 37 81 00 00 -13 01 F1 FF 33 BF 20 00 93 0E 00 00 93 01 C0 00 -63 18 DF 3B B7 00 00 80 93 80 F0 FF 37 81 FF FF -33 BF 20 00 93 0E 10 00 93 01 D0 00 63 1A DF 39 -93 00 00 00 13 01 F0 FF 33 BF 20 00 93 0E 10 00 -93 01 E0 00 63 1E DF 37 93 00 F0 FF 13 01 10 00 -33 BF 20 00 93 0E 00 00 93 01 F0 00 63 12 DF 37 -93 00 F0 FF 13 01 F0 FF 33 BF 20 00 93 0E 00 00 -93 01 00 01 63 16 DF 35 93 00 E0 00 13 01 D0 00 -B3 B0 20 00 93 0E 00 00 93 01 10 01 63 9A D0 33 -93 00 B0 00 13 01 D0 00 33 B1 20 00 93 0E 10 00 -93 01 20 01 63 1E D1 31 93 00 D0 00 B3 B0 10 00 -93 0E 00 00 93 01 30 01 63 94 D0 31 13 02 00 00 -93 00 B0 00 13 01 D0 00 33 BF 20 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 10 00 -93 01 40 01 63 1E D3 2D 13 02 00 00 93 00 E0 00 -13 01 D0 00 33 BF 20 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 00 00 -93 01 50 01 63 16 D3 2B 13 02 00 00 93 00 C0 00 -13 01 D0 00 33 BF 20 00 13 00 00 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE -93 0E 10 00 93 01 60 01 63 1C D3 27 13 02 00 00 -93 00 E0 00 13 01 D0 00 33 BF 20 00 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 70 01 -63 18 DF 25 13 02 00 00 93 00 B0 00 13 01 D0 00 -13 00 00 00 33 BF 20 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 10 00 93 01 80 01 63 12 DF 23 -13 02 00 00 93 00 F0 00 13 01 D0 00 13 00 00 00 -13 00 00 00 33 BF 20 00 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 00 00 93 01 90 01 63 1A DF 1F -13 02 00 00 93 00 A0 00 13 00 00 00 13 01 D0 00 -33 BF 20 00 13 02 12 00 93 02 20 00 E3 14 52 FE -93 0E 10 00 93 01 A0 01 63 14 DF 1D 13 02 00 00 -93 00 00 01 13 00 00 00 13 01 D0 00 13 00 00 00 -33 BF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 00 00 93 01 B0 01 63 1C DF 19 13 02 00 00 -93 00 90 00 13 00 00 00 13 00 00 00 13 01 D0 00 -33 BF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 10 00 93 01 C0 01 63 14 DF 17 13 02 00 00 -13 01 D0 00 93 00 10 01 33 BF 20 00 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 D0 01 -63 10 DF 15 13 02 00 00 13 01 D0 00 93 00 80 00 -13 00 00 00 33 BF 20 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 10 00 93 01 E0 01 63 1A DF 11 -13 02 00 00 13 01 D0 00 93 00 20 01 13 00 00 00 -13 00 00 00 33 BF 20 00 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 00 00 93 01 F0 01 63 12 DF 0F -13 02 00 00 13 01 D0 00 13 00 00 00 93 00 70 00 -33 BF 20 00 13 02 12 00 93 02 20 00 E3 14 52 FE -93 0E 10 00 93 01 00 02 63 1C DF 0B 13 02 00 00 -13 01 D0 00 13 00 00 00 93 00 30 01 13 00 00 00 -33 BF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 00 00 93 01 10 02 63 14 DF 09 13 02 00 00 -13 01 D0 00 13 00 00 00 13 00 00 00 93 00 60 00 -33 BF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 10 00 93 01 20 02 63 1C DF 05 93 00 F0 FF -33 31 10 00 93 0E 10 00 93 01 30 02 63 12 D1 05 -93 00 F0 FF 33 B1 00 00 93 0E 00 00 93 01 40 02 -63 18 D1 03 B3 30 00 00 93 0E 00 00 93 01 50 02 -63 90 D0 03 93 00 00 01 13 01 E0 01 33 B0 20 00 -93 0E 00 00 93 01 60 02 63 14 D0 01 63 18 30 00 -13 0D 10 00 93 0D 00 00 6F 00 00 00 13 0D 10 00 -93 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000540 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 +33 BF 20 00 93 0E 00 00 93 01 20 00 63 1A DF 4B +93 00 10 00 13 01 10 00 33 BF 20 00 93 0E 00 00 +93 01 30 00 63 1E DF 49 93 00 30 00 13 01 70 00 +33 BF 20 00 93 0E 10 00 93 01 40 00 63 12 DF 49 +93 00 70 00 13 01 30 00 33 BF 20 00 93 0E 00 00 +93 01 50 00 63 16 DF 47 93 00 00 00 37 81 FF FF +33 BF 20 00 93 0E 10 00 93 01 60 00 63 1A DF 45 +B7 00 00 80 13 01 00 00 33 BF 20 00 93 0E 00 00 +93 01 70 00 63 1E DF 43 B7 00 00 80 37 81 FF FF +33 BF 20 00 93 0E 10 00 93 01 80 00 63 12 DF 43 +93 00 00 00 37 81 00 00 13 01 F1 FF 33 BF 20 00 +93 0E 10 00 93 01 90 00 63 14 DF 41 B7 00 00 80 +93 80 F0 FF 13 01 00 00 33 BF 20 00 93 0E 00 00 +93 01 A0 00 63 16 DF 3F B7 00 00 80 93 80 F0 FF +37 81 00 00 13 01 F1 FF 33 BF 20 00 93 0E 00 00 +93 01 B0 00 63 16 DF 3D B7 00 00 80 37 81 00 00 +13 01 F1 FF 33 BF 20 00 93 0E 00 00 93 01 C0 00 +63 18 DF 3B B7 00 00 80 93 80 F0 FF 37 81 FF FF +33 BF 20 00 93 0E 10 00 93 01 D0 00 63 1A DF 39 +93 00 00 00 13 01 F0 FF 33 BF 20 00 93 0E 10 00 +93 01 E0 00 63 1E DF 37 93 00 F0 FF 13 01 10 00 +33 BF 20 00 93 0E 00 00 93 01 F0 00 63 12 DF 37 +93 00 F0 FF 13 01 F0 FF 33 BF 20 00 93 0E 00 00 +93 01 00 01 63 16 DF 35 93 00 E0 00 13 01 D0 00 +B3 B0 20 00 93 0E 00 00 93 01 10 01 63 9A D0 33 +93 00 B0 00 13 01 D0 00 33 B1 20 00 93 0E 10 00 +93 01 20 01 63 1E D1 31 93 00 D0 00 B3 B0 10 00 +93 0E 00 00 93 01 30 01 63 94 D0 31 13 02 00 00 +93 00 B0 00 13 01 D0 00 33 BF 20 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 10 00 +93 01 40 01 63 1E D3 2D 13 02 00 00 93 00 E0 00 +13 01 D0 00 33 BF 20 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 00 00 +93 01 50 01 63 16 D3 2B 13 02 00 00 93 00 C0 00 +13 01 D0 00 33 BF 20 00 13 00 00 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE +93 0E 10 00 93 01 60 01 63 1C D3 27 13 02 00 00 +93 00 E0 00 13 01 D0 00 33 BF 20 00 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 70 01 +63 18 DF 25 13 02 00 00 93 00 B0 00 13 01 D0 00 +13 00 00 00 33 BF 20 00 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 10 00 93 01 80 01 63 12 DF 23 +13 02 00 00 93 00 F0 00 13 01 D0 00 13 00 00 00 +13 00 00 00 33 BF 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 00 00 93 01 90 01 63 1A DF 1F +13 02 00 00 93 00 A0 00 13 00 00 00 13 01 D0 00 +33 BF 20 00 13 02 12 00 93 02 20 00 E3 14 52 FE +93 0E 10 00 93 01 A0 01 63 14 DF 1D 13 02 00 00 +93 00 00 01 13 00 00 00 13 01 D0 00 13 00 00 00 +33 BF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 00 00 93 01 B0 01 63 1C DF 19 13 02 00 00 +93 00 90 00 13 00 00 00 13 00 00 00 13 01 D0 00 +33 BF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 10 00 93 01 C0 01 63 14 DF 17 13 02 00 00 +13 01 D0 00 93 00 10 01 33 BF 20 00 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 D0 01 +63 10 DF 15 13 02 00 00 13 01 D0 00 93 00 80 00 +13 00 00 00 33 BF 20 00 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 10 00 93 01 E0 01 63 1A DF 11 +13 02 00 00 13 01 D0 00 93 00 20 01 13 00 00 00 +13 00 00 00 33 BF 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 00 00 93 01 F0 01 63 12 DF 0F +13 02 00 00 13 01 D0 00 13 00 00 00 93 00 70 00 +33 BF 20 00 13 02 12 00 93 02 20 00 E3 14 52 FE +93 0E 10 00 93 01 00 02 63 1C DF 0B 13 02 00 00 +13 01 D0 00 13 00 00 00 93 00 30 01 13 00 00 00 +33 BF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 00 00 93 01 10 02 63 14 DF 09 13 02 00 00 +13 01 D0 00 13 00 00 00 13 00 00 00 93 00 60 00 +33 BF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 10 00 93 01 20 02 63 1C DF 05 93 00 F0 FF +33 31 10 00 93 0E 10 00 93 01 30 02 63 12 D1 05 +93 00 F0 FF 33 B1 00 00 93 0E 00 00 93 01 40 02 +63 18 D1 03 B3 30 00 00 93 0E 00 00 93 01 50 02 +63 90 D0 03 93 00 00 01 13 01 E0 01 33 B0 20 00 +93 0E 00 00 93 01 60 02 63 14 D0 01 63 18 30 00 +93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 +13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000540 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-sra b/tests/isa/generated/rv32ui-p-sra old mode 100644 new mode 100755 index dbef4a13cace30cd390f69272ffe40b7b8542004..b3fcb03f55e1909072a54631d8c60df736ca6954 GIT binary patch delta 1127 zcmZA1KWGzS7{~GFZPKWaVkB*~ZNL;A3f8De5d#q-bm)+wNJI;wO%tI3p)t8;64D`v zgJR-|iycHVc8DnG(7~aDgQJ5(#tsf06goH*^m~`w<-r&3eV@OCc3s)rS2$Sr`}Uuq~2G~Q3w6AHj>A2<-0hmT*9lq8%7=yZ25r^3%^xd-$vJH~dHWJDv~Fxy?wAF|WLj zw+80^8#y58stJdqofJdRt+G5kgOJU-~#dH#&d6U5X*wSaTV z8N8~zh%MzDZYmdXS2>S&l<(osuHEO~$U1>U`s<6xh}8e{6UT!V;e?;#rBFX`=D);Q z17IT8lzm3#~d<@>%o3V3eUh^Hvl6cKq F-aiu-U|0YE delta 1051 zcmYk*KWGzS7{~GFtx2(9;iR@S^oA4{MJq%dj1+9B^bba<7(wxdUSq+6a*6HGE=|M^ zbr2gfi5*(#B6Ua=QNbYvp>=c=x)fXl2fHaK^?SMA<$2)Vdp^H=llQ&cn|rHZq5k z%8&4X@)JC+T*2kY-2V%Ll_)T>ia#m8!aK?v_^p zathy7PU9uz46ZAW;-AXbu^qfqtj`8+6J*s(=HhYXDLkWG#0$!cxTZXZUn@VxAC#YB zvHTlkeM?&8WfTNn_kB5`KOD~v-SE7({}NlKGI8p;JyxByt-g`2;EDOa*;x+%>~FV? aBYIBs+X<1a>O1>*_cQ+cXGp>d_x~S?HC*rj diff --git a/tests/isa/generated/rv32ui-p-sra.bin b/tests/isa/generated/rv32ui-p-sra.bin old mode 100644 new mode 100755 index a94ea3fbb8932c0c0900e87539b9d8e3a400c964..b03e67e7a449f7ef883830a14e5bd5b30d4996e8 GIT binary patch delta 37 ocmX@XbAo5X5?0a4ybKJ&yaEjQ3=9mDfi##s`5 delta 37 pcmX@XbAo5X5>`=RUIB*5ybKKa3=9kqwg5x^ 000005a4 : - 5a4: 00100d13 li s10,1 - 5a8: 00000d93 li s11,0 + 5a4: 00000d93 li s11,0 + 5a8: 00100d13 li s10,1 000005ac : 5ac: 0000006f j 5ac 000005b0 : - 5b0: 00100d13 li s10,1 - 5b4: 00100d93 li s11,1 + 5b0: 00100d93 li s11,1 + 5b4: 00100d13 li s10,1 000005b8 : 5b8: 0000006f j 5b8 @@ -473,3 +473,18 @@ Disassembly of section .tohost: 00000640 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-sra.mem b/tests/isa/generated/rv32ui-p-sra.mem new file mode 100644 index 0000000..bd13030 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-sra.mem @@ -0,0 +1,402 @@ +00000d13 +00000d93 +800000b7 +00000113 +4020df33 +80000eb7 +00200193 +59df1463 +800000b7 +00100113 +4020df33 +c0000eb7 +00300193 +57df1863 +800000b7 +00700113 +4020df33 +ff000eb7 +00400193 +55df1c63 +800000b7 +00e00113 +4020df33 +fffe0eb7 +00500193 +55df1063 +800000b7 +00108093 +01f00113 +4020df33 +fff00e93 +00600193 +53df1263 +800000b7 +fff08093 +00000113 +4020df33 +80000eb7 +fffe8e93 +00700193 +51df1263 +800000b7 +fff08093 +00100113 +4020df33 +40000eb7 +fffe8e93 +00800193 +4fdf1263 +800000b7 +fff08093 +00700113 +4020df33 +01000eb7 +fffe8e93 +00900193 +4ddf1263 +800000b7 +fff08093 +00e00113 +4020df33 +00020eb7 +fffe8e93 +00a00193 +4bdf1263 +800000b7 +fff08093 +01f00113 +4020df33 +00000e93 +00b00193 +49df1463 +818180b7 +18108093 +00000113 +4020df33 +81818eb7 +181e8e93 +00c00193 +47df1463 +818180b7 +18108093 +00100113 +4020df33 +c0c0ceb7 +0c0e8e93 +00d00193 +45df1463 +818180b7 +18108093 +00700113 +4020df33 +ff030eb7 +303e8e93 +00e00193 +43df1463 +818180b7 +18108093 +00e00113 +4020df33 +fffe0eb7 +606e8e93 +00f00193 +41df1463 +818180b7 +18108093 +01f00113 +4020df33 +fff00e93 +01000193 +3fdf1663 +818180b7 +18108093 +fc000113 +4020df33 +81818eb7 +181e8e93 +01100193 +3ddf1663 +818180b7 +18108093 +fc100113 +4020df33 +c0c0ceb7 +0c0e8e93 +01200193 +3bdf1663 +818180b7 +18108093 +fc700113 +4020df33 +ff030eb7 +303e8e93 +01300193 +39df1663 +818180b7 +18108093 +fce00113 +4020df33 +fffe0eb7 +606e8e93 +01400193 +37df1663 +818180b7 +18108093 +fff00113 +4020df33 +fff00e93 +01500193 +35df1863 +800000b7 +00700113 +4020d0b3 +ff000eb7 +01600193 +33d09c63 +800000b7 +00e00113 +4020d133 +fffe0eb7 +01700193 +33d11063 +00700093 +4010d0b3 +00000e93 +01800193 +31d09663 +00000213 +800000b7 +00700113 +4020df33 +000f0313 +00120213 +00200293 +fe5214e3 +ff000eb7 +01900193 +2fd31063 +00000213 +800000b7 +00e00113 +4020df33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +fffe0eb7 +01a00193 +2bd31863 +00000213 +800000b7 +01f00113 +4020df33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +fff00e93 +01b00193 +27d31e63 +00000213 +800000b7 +00700113 +4020df33 +00120213 +00200293 +fe5216e3 +ff000eb7 +01c00193 +25df1a63 +00000213 +800000b7 +00e00113 +00000013 +4020df33 +00120213 +00200293 +fe5214e3 +fffe0eb7 +01d00193 +23df1463 +00000213 +800000b7 +01f00113 +00000013 +00000013 +4020df33 +00120213 +00200293 +fe5212e3 +fff00e93 +01e00193 +1fdf1c63 +00000213 +800000b7 +00000013 +00700113 +4020df33 +00120213 +00200293 +fe5214e3 +ff000eb7 +01f00193 +1ddf1663 +00000213 +800000b7 +00000013 +00e00113 +00000013 +4020df33 +00120213 +00200293 +fe5212e3 +fffe0eb7 +02000193 +19df1e63 +00000213 +800000b7 +00000013 +00000013 +01f00113 +4020df33 +00120213 +00200293 +fe5212e3 +fff00e93 +02100193 +17df1663 +00000213 +00700113 +800000b7 +4020df33 +00120213 +00200293 +fe5216e3 +ff000eb7 +02200193 +15df1263 +00000213 +00e00113 +800000b7 +00000013 +4020df33 +00120213 +00200293 +fe5214e3 +fffe0eb7 +02300193 +11df1c63 +00000213 +01f00113 +800000b7 +00000013 +00000013 +4020df33 +00120213 +00200293 +fe5212e3 +fff00e93 +02400193 +0fdf1463 +00000213 +00700113 +00000013 +800000b7 +4020df33 +00120213 +00200293 +fe5214e3 +ff000eb7 +02500193 +0bdf1e63 +00000213 +00e00113 +00000013 +800000b7 +00000013 +4020df33 +00120213 +00200293 +fe5212e3 +fffe0eb7 +02600193 +09df1663 +00000213 +01f00113 +00000013 +00000013 +800000b7 +4020df33 +00120213 +00200293 +fe5212e3 +fff00e93 +02700193 +05df1e63 +00f00093 +40105133 +00000e93 +02800193 +05d11463 +02000093 +4000d133 +02000e93 +02900193 +03d11a63 +400050b3 +00000e93 +02a00193 +03d09263 +40000093 +00001137 +80010113 +4020d033 +00000e93 +02b00193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-sra.verilog b/tests/isa/generated/rv32ui-p-sra.verilog old mode 100644 new mode 100755 index 98afbb9..ec4ac17 --- a/tests/isa/generated/rv32ui-p-sra.verilog +++ b/tests/isa/generated/rv32ui-p-sra.verilog @@ -1,100 +1,100 @@ -@00000000 -13 0D 00 00 93 0D 00 00 B7 00 00 80 13 01 00 00 -33 DF 20 40 B7 0E 00 80 93 01 20 00 63 14 DF 59 -B7 00 00 80 13 01 10 00 33 DF 20 40 B7 0E 00 C0 -93 01 30 00 63 18 DF 57 B7 00 00 80 13 01 70 00 -33 DF 20 40 B7 0E 00 FF 93 01 40 00 63 1C DF 55 -B7 00 00 80 13 01 E0 00 33 DF 20 40 B7 0E FE FF -93 01 50 00 63 10 DF 55 B7 00 00 80 93 80 10 00 -13 01 F0 01 33 DF 20 40 93 0E F0 FF 93 01 60 00 -63 12 DF 53 B7 00 00 80 93 80 F0 FF 13 01 00 00 -33 DF 20 40 B7 0E 00 80 93 8E FE FF 93 01 70 00 -63 12 DF 51 B7 00 00 80 93 80 F0 FF 13 01 10 00 -33 DF 20 40 B7 0E 00 40 93 8E FE FF 93 01 80 00 -63 12 DF 4F B7 00 00 80 93 80 F0 FF 13 01 70 00 -33 DF 20 40 B7 0E 00 01 93 8E FE FF 93 01 90 00 -63 12 DF 4D B7 00 00 80 93 80 F0 FF 13 01 E0 00 -33 DF 20 40 B7 0E 02 00 93 8E FE FF 93 01 A0 00 -63 12 DF 4B B7 00 00 80 93 80 F0 FF 13 01 F0 01 -33 DF 20 40 93 0E 00 00 93 01 B0 00 63 14 DF 49 -B7 80 81 81 93 80 10 18 13 01 00 00 33 DF 20 40 -B7 8E 81 81 93 8E 1E 18 93 01 C0 00 63 14 DF 47 -B7 80 81 81 93 80 10 18 13 01 10 00 33 DF 20 40 -B7 CE C0 C0 93 8E 0E 0C 93 01 D0 00 63 14 DF 45 -B7 80 81 81 93 80 10 18 13 01 70 00 33 DF 20 40 -B7 0E 03 FF 93 8E 3E 30 93 01 E0 00 63 14 DF 43 -B7 80 81 81 93 80 10 18 13 01 E0 00 33 DF 20 40 -B7 0E FE FF 93 8E 6E 60 93 01 F0 00 63 14 DF 41 -B7 80 81 81 93 80 10 18 13 01 F0 01 33 DF 20 40 -93 0E F0 FF 93 01 00 01 63 16 DF 3F B7 80 81 81 -93 80 10 18 13 01 00 FC 33 DF 20 40 B7 8E 81 81 -93 8E 1E 18 93 01 10 01 63 16 DF 3D B7 80 81 81 -93 80 10 18 13 01 10 FC 33 DF 20 40 B7 CE C0 C0 -93 8E 0E 0C 93 01 20 01 63 16 DF 3B B7 80 81 81 -93 80 10 18 13 01 70 FC 33 DF 20 40 B7 0E 03 FF -93 8E 3E 30 93 01 30 01 63 16 DF 39 B7 80 81 81 -93 80 10 18 13 01 E0 FC 33 DF 20 40 B7 0E FE FF -93 8E 6E 60 93 01 40 01 63 16 DF 37 B7 80 81 81 -93 80 10 18 13 01 F0 FF 33 DF 20 40 93 0E F0 FF -93 01 50 01 63 18 DF 35 B7 00 00 80 13 01 70 00 -B3 D0 20 40 B7 0E 00 FF 93 01 60 01 63 9C D0 33 -B7 00 00 80 13 01 E0 00 33 D1 20 40 B7 0E FE FF -93 01 70 01 63 10 D1 33 93 00 70 00 B3 D0 10 40 -93 0E 00 00 93 01 80 01 63 96 D0 31 13 02 00 00 -B7 00 00 80 13 01 70 00 33 DF 20 40 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 14 52 FE B7 0E 00 FF -93 01 90 01 63 10 D3 2F 13 02 00 00 B7 00 00 80 -13 01 E0 00 33 DF 20 40 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 12 52 FE B7 0E FE FF -93 01 A0 01 63 18 D3 2B 13 02 00 00 B7 00 00 80 -13 01 F0 01 33 DF 20 40 13 00 00 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE -93 0E F0 FF 93 01 B0 01 63 1E D3 27 13 02 00 00 -B7 00 00 80 13 01 70 00 33 DF 20 40 13 02 12 00 -93 02 20 00 E3 16 52 FE B7 0E 00 FF 93 01 C0 01 -63 1A DF 25 13 02 00 00 B7 00 00 80 13 01 E0 00 -13 00 00 00 33 DF 20 40 13 02 12 00 93 02 20 00 -E3 14 52 FE B7 0E FE FF 93 01 D0 01 63 14 DF 23 -13 02 00 00 B7 00 00 80 13 01 F0 01 13 00 00 00 -13 00 00 00 33 DF 20 40 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E F0 FF 93 01 E0 01 63 1C DF 1F -13 02 00 00 B7 00 00 80 13 00 00 00 13 01 70 00 -33 DF 20 40 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 0E 00 FF 93 01 F0 01 63 16 DF 1D 13 02 00 00 -B7 00 00 80 13 00 00 00 13 01 E0 00 13 00 00 00 -33 DF 20 40 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 0E FE FF 93 01 00 02 63 1E DF 19 13 02 00 00 -B7 00 00 80 13 00 00 00 13 00 00 00 13 01 F0 01 -33 DF 20 40 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E F0 FF 93 01 10 02 63 16 DF 17 13 02 00 00 -13 01 70 00 B7 00 00 80 33 DF 20 40 13 02 12 00 -93 02 20 00 E3 16 52 FE B7 0E 00 FF 93 01 20 02 -63 12 DF 15 13 02 00 00 13 01 E0 00 B7 00 00 80 -13 00 00 00 33 DF 20 40 13 02 12 00 93 02 20 00 -E3 14 52 FE B7 0E FE FF 93 01 30 02 63 1C DF 11 -13 02 00 00 13 01 F0 01 B7 00 00 80 13 00 00 00 -13 00 00 00 33 DF 20 40 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E F0 FF 93 01 40 02 63 14 DF 0F -13 02 00 00 13 01 70 00 13 00 00 00 B7 00 00 80 -33 DF 20 40 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 0E 00 FF 93 01 50 02 63 1E DF 0B 13 02 00 00 -13 01 E0 00 13 00 00 00 B7 00 00 80 13 00 00 00 -33 DF 20 40 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 0E FE FF 93 01 60 02 63 16 DF 09 13 02 00 00 -13 01 F0 01 13 00 00 00 13 00 00 00 B7 00 00 80 -33 DF 20 40 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E F0 FF 93 01 70 02 63 1E DF 05 93 00 F0 00 -33 51 10 40 93 0E 00 00 93 01 80 02 63 14 D1 05 -93 00 00 02 33 D1 00 40 93 0E 00 02 93 01 90 02 -63 1A D1 03 B3 50 00 40 93 0E 00 00 93 01 A0 02 -63 92 D0 03 93 00 00 40 37 11 00 00 13 01 01 80 -33 D0 20 40 93 0E 00 00 93 01 B0 02 63 14 D0 01 -63 18 30 00 13 0D 10 00 93 0D 00 00 6F 00 00 00 -13 0D 10 00 93 0D 10 00 6F 00 00 00 00 00 00 00 -00 00 00 00 -@00000600 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 B7 00 00 80 13 01 00 00 +33 DF 20 40 B7 0E 00 80 93 01 20 00 63 14 DF 59 +B7 00 00 80 13 01 10 00 33 DF 20 40 B7 0E 00 C0 +93 01 30 00 63 18 DF 57 B7 00 00 80 13 01 70 00 +33 DF 20 40 B7 0E 00 FF 93 01 40 00 63 1C DF 55 +B7 00 00 80 13 01 E0 00 33 DF 20 40 B7 0E FE FF +93 01 50 00 63 10 DF 55 B7 00 00 80 93 80 10 00 +13 01 F0 01 33 DF 20 40 93 0E F0 FF 93 01 60 00 +63 12 DF 53 B7 00 00 80 93 80 F0 FF 13 01 00 00 +33 DF 20 40 B7 0E 00 80 93 8E FE FF 93 01 70 00 +63 12 DF 51 B7 00 00 80 93 80 F0 FF 13 01 10 00 +33 DF 20 40 B7 0E 00 40 93 8E FE FF 93 01 80 00 +63 12 DF 4F B7 00 00 80 93 80 F0 FF 13 01 70 00 +33 DF 20 40 B7 0E 00 01 93 8E FE FF 93 01 90 00 +63 12 DF 4D B7 00 00 80 93 80 F0 FF 13 01 E0 00 +33 DF 20 40 B7 0E 02 00 93 8E FE FF 93 01 A0 00 +63 12 DF 4B B7 00 00 80 93 80 F0 FF 13 01 F0 01 +33 DF 20 40 93 0E 00 00 93 01 B0 00 63 14 DF 49 +B7 80 81 81 93 80 10 18 13 01 00 00 33 DF 20 40 +B7 8E 81 81 93 8E 1E 18 93 01 C0 00 63 14 DF 47 +B7 80 81 81 93 80 10 18 13 01 10 00 33 DF 20 40 +B7 CE C0 C0 93 8E 0E 0C 93 01 D0 00 63 14 DF 45 +B7 80 81 81 93 80 10 18 13 01 70 00 33 DF 20 40 +B7 0E 03 FF 93 8E 3E 30 93 01 E0 00 63 14 DF 43 +B7 80 81 81 93 80 10 18 13 01 E0 00 33 DF 20 40 +B7 0E FE FF 93 8E 6E 60 93 01 F0 00 63 14 DF 41 +B7 80 81 81 93 80 10 18 13 01 F0 01 33 DF 20 40 +93 0E F0 FF 93 01 00 01 63 16 DF 3F B7 80 81 81 +93 80 10 18 13 01 00 FC 33 DF 20 40 B7 8E 81 81 +93 8E 1E 18 93 01 10 01 63 16 DF 3D B7 80 81 81 +93 80 10 18 13 01 10 FC 33 DF 20 40 B7 CE C0 C0 +93 8E 0E 0C 93 01 20 01 63 16 DF 3B B7 80 81 81 +93 80 10 18 13 01 70 FC 33 DF 20 40 B7 0E 03 FF +93 8E 3E 30 93 01 30 01 63 16 DF 39 B7 80 81 81 +93 80 10 18 13 01 E0 FC 33 DF 20 40 B7 0E FE FF +93 8E 6E 60 93 01 40 01 63 16 DF 37 B7 80 81 81 +93 80 10 18 13 01 F0 FF 33 DF 20 40 93 0E F0 FF +93 01 50 01 63 18 DF 35 B7 00 00 80 13 01 70 00 +B3 D0 20 40 B7 0E 00 FF 93 01 60 01 63 9C D0 33 +B7 00 00 80 13 01 E0 00 33 D1 20 40 B7 0E FE FF +93 01 70 01 63 10 D1 33 93 00 70 00 B3 D0 10 40 +93 0E 00 00 93 01 80 01 63 96 D0 31 13 02 00 00 +B7 00 00 80 13 01 70 00 33 DF 20 40 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 14 52 FE B7 0E 00 FF +93 01 90 01 63 10 D3 2F 13 02 00 00 B7 00 00 80 +13 01 E0 00 33 DF 20 40 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 12 52 FE B7 0E FE FF +93 01 A0 01 63 18 D3 2B 13 02 00 00 B7 00 00 80 +13 01 F0 01 33 DF 20 40 13 00 00 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE +93 0E F0 FF 93 01 B0 01 63 1E D3 27 13 02 00 00 +B7 00 00 80 13 01 70 00 33 DF 20 40 13 02 12 00 +93 02 20 00 E3 16 52 FE B7 0E 00 FF 93 01 C0 01 +63 1A DF 25 13 02 00 00 B7 00 00 80 13 01 E0 00 +13 00 00 00 33 DF 20 40 13 02 12 00 93 02 20 00 +E3 14 52 FE B7 0E FE FF 93 01 D0 01 63 14 DF 23 +13 02 00 00 B7 00 00 80 13 01 F0 01 13 00 00 00 +13 00 00 00 33 DF 20 40 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E F0 FF 93 01 E0 01 63 1C DF 1F +13 02 00 00 B7 00 00 80 13 00 00 00 13 01 70 00 +33 DF 20 40 13 02 12 00 93 02 20 00 E3 14 52 FE +B7 0E 00 FF 93 01 F0 01 63 16 DF 1D 13 02 00 00 +B7 00 00 80 13 00 00 00 13 01 E0 00 13 00 00 00 +33 DF 20 40 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 0E FE FF 93 01 00 02 63 1E DF 19 13 02 00 00 +B7 00 00 80 13 00 00 00 13 00 00 00 13 01 F0 01 +33 DF 20 40 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E F0 FF 93 01 10 02 63 16 DF 17 13 02 00 00 +13 01 70 00 B7 00 00 80 33 DF 20 40 13 02 12 00 +93 02 20 00 E3 16 52 FE B7 0E 00 FF 93 01 20 02 +63 12 DF 15 13 02 00 00 13 01 E0 00 B7 00 00 80 +13 00 00 00 33 DF 20 40 13 02 12 00 93 02 20 00 +E3 14 52 FE B7 0E FE FF 93 01 30 02 63 1C DF 11 +13 02 00 00 13 01 F0 01 B7 00 00 80 13 00 00 00 +13 00 00 00 33 DF 20 40 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E F0 FF 93 01 40 02 63 14 DF 0F +13 02 00 00 13 01 70 00 13 00 00 00 B7 00 00 80 +33 DF 20 40 13 02 12 00 93 02 20 00 E3 14 52 FE +B7 0E 00 FF 93 01 50 02 63 1E DF 0B 13 02 00 00 +13 01 E0 00 13 00 00 00 B7 00 00 80 13 00 00 00 +33 DF 20 40 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 0E FE FF 93 01 60 02 63 16 DF 09 13 02 00 00 +13 01 F0 01 13 00 00 00 13 00 00 00 B7 00 00 80 +33 DF 20 40 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E F0 FF 93 01 70 02 63 1E DF 05 93 00 F0 00 +33 51 10 40 93 0E 00 00 93 01 80 02 63 14 D1 05 +93 00 00 02 33 D1 00 40 93 0E 00 02 93 01 90 02 +63 1A D1 03 B3 50 00 40 93 0E 00 00 93 01 A0 02 +63 92 D0 03 93 00 00 40 37 11 00 00 13 01 01 80 +33 D0 20 40 93 0E 00 00 93 01 B0 02 63 14 D0 01 +63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00 +93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00 +00 00 00 00 +@00000600 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-srai b/tests/isa/generated/rv32ui-p-srai old mode 100644 new mode 100755 index 2a246678d1522f0b363f97789b1adbce02e54e90..a4661233a90acfc39b385741b67b26c356f234e1 GIT binary patch delta 818 zcmYk*KS%;m90%~<^G|;$Nj>pT2q{4bkzE=!1qMNjK}11Ai&~%%Bx=$SoI`^{L2?ca zjSdbiQPARG&`=OT)X>-ztu4_K^}W+Oe-GZf@8>7?eE05MTdvHUhNP^I^fpT&5)`3+ z>bs1exLvA)NOCx755KCoO6s%wCa@R)3%O#ZOkxDlUMR<7xmaGVrN-2T$$Sn6*^V{f zgkc3+c7a0HD8Se78FLG6GQYr&%-U|;Ge5zv%x^F`wL!aB=%W*KuV{sDJ$42s7VwK$ zwHmgN1y5699)c6hl*ug1Ya7M%mDf8jTv7eJMN_3xA(!4S?G$UNN%g?D8jfO0+-AP* zDh`i!UW_KxTc2;LfodMhx1$_Jx1npRA4Ko|DH2|)m&mQ!{p0=#d=d%0))|b={|7;_ BNWcI9 delta 761 zcmYk)F-QVY7zgn0%)$ua@+^gnq=tehlmeqAB`YEm2L+)UQYj1yuWV^a+YAbnYpAi! zMrnwk!Jwg_MQCZOt(G8KTB81UcIS8S-u-{y-SNKnxT3%AD|@Awi}Y)fyd=;Fbx?b4 z;@HtG*+o+AL^(Xwv)XW6RTPg}mz)Km`HenX>GRi*WHW05eIVB@MnRw{M2|r%GlT47 zo<|NdFC!GhkYsVL4rrBvZkzd=%%aO%UbYW@V zFNYK*oZ3yvkz6LVDaY5g6giVgBvQ%s=w*LzK&Yfg)v_z5+T7w;Ng`TBzgDd1#nA3r edz~2nt03V}dx!$+oO{SUfIoX&uQez1qhEgl)k^LF diff --git a/tests/isa/generated/rv32ui-p-srai.bin b/tests/isa/generated/rv32ui-p-srai.bin old mode 100644 new mode 100755 index 8dba9e6179eedcc3ad4725d1a546ef3b844fdf0b..c6b11bc4aeb702edaecea4bd5d381c2dc3eb7298 GIT binary patch delta 29 jcmeBR?_l3>fJtyNF9U-xuK+_n0|UckAT2!kBGYyNWxxi( delta 29 hcmeBR?_l3>fJso8SAbzMF9Sn90|Nt?J^3Qjb^u}k2EhOT diff --git a/tests/isa/generated/rv32ui-p-srai.dump b/tests/isa/generated/rv32ui-p-srai.dump index b4a138d..158f586 100644 --- a/tests/isa/generated/rv32ui-p-srai.dump +++ b/tests/isa/generated/rv32ui-p-srai.dump @@ -231,15 +231,15 @@ Disassembly of section .text.init: 2bc: 00301863 bne zero,gp,2cc 000002c0 : - 2c0: 00100d13 li s10,1 - 2c4: 00000d93 li s11,0 + 2c0: 00000d93 li s11,0 + 2c4: 00100d13 li s10,1 000002c8 : 2c8: 0000006f j 2c8 000002cc : - 2cc: 00100d13 li s10,1 - 2d0: 00100d93 li s11,1 + 2cc: 00100d93 li s11,1 + 2d0: 00100d13 li s10,1 000002d4 : 2d4: 0000006f j 2d4 @@ -252,3 +252,18 @@ Disassembly of section .tohost: 00000380 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-srai.mem b/tests/isa/generated/rv32ui-p-srai.mem new file mode 100644 index 0000000..b3d7cf6 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-srai.mem @@ -0,0 +1,226 @@ +00000d13 +00000d93 +00000093 +4000df13 +00000e93 +00200193 +2bdf1463 +800000b7 +4010df13 +c0000eb7 +00300193 +29df1a63 +800000b7 +4070df13 +ff000eb7 +00400193 +29df1063 +800000b7 +40e0df13 +fffe0eb7 +00500193 +27df1663 +800000b7 +00108093 +41f0df13 +fff00e93 +00600193 +25df1a63 +800000b7 +fff08093 +4000df13 +80000eb7 +fffe8e93 +00700193 +23df1c63 +800000b7 +fff08093 +4010df13 +40000eb7 +fffe8e93 +00800193 +21df1e63 +800000b7 +fff08093 +4070df13 +01000eb7 +fffe8e93 +00900193 +21df1063 +800000b7 +fff08093 +40e0df13 +00020eb7 +fffe8e93 +00a00193 +1fdf1263 +800000b7 +fff08093 +41f0df13 +00000e93 +00b00193 +1ddf1663 +818180b7 +18108093 +4000df13 +81818eb7 +181e8e93 +00c00193 +1bdf1863 +818180b7 +18108093 +4010df13 +c0c0ceb7 +0c0e8e93 +00d00193 +19df1a63 +818180b7 +18108093 +4070df13 +ff030eb7 +303e8e93 +00e00193 +17df1c63 +818180b7 +18108093 +40e0df13 +fffe0eb7 +606e8e93 +00f00193 +15df1e63 +818180b7 +18108093 +41f0df13 +fff00e93 +01000193 +15df1263 +800000b7 +4070d093 +ff000eb7 +01100193 +13d09863 +00000213 +800000b7 +4070df13 +000f0313 +00120213 +00200293 +fe5216e3 +ff000eb7 +01200193 +11d31463 +00000213 +800000b7 +40e0df13 +00000013 +000f0313 +00120213 +00200293 +fe5214e3 +fffe0eb7 +01300193 +0dd31e63 +00000213 +800000b7 +00108093 +41f0df13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +fff00e93 +01400193 +0bd31463 +00000213 +800000b7 +4070df13 +00120213 +00200293 +fe5218e3 +ff000eb7 +01500193 +09df1263 +00000213 +800000b7 +00000013 +40e0df13 +00120213 +00200293 +fe5216e3 +fffe0eb7 +01600193 +05df1e63 +00000213 +800000b7 +00108093 +00000013 +00000013 +41f0df13 +00120213 +00200293 +fe5212e3 +fff00e93 +01700193 +03df1663 +40405093 +00000e93 +01800193 +01d09e63 +02100093 +40a0d013 +00000e93 +01900193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-srai.verilog b/tests/isa/generated/rv32ui-p-srai.verilog old mode 100644 new mode 100755 index 59dd888..f849661 --- a/tests/isa/generated/rv32ui-p-srai.verilog +++ b/tests/isa/generated/rv32ui-p-srai.verilog @@ -1,56 +1,56 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 DF 00 40 -93 0E 00 00 93 01 20 00 63 14 DF 2B B7 00 00 80 -13 DF 10 40 B7 0E 00 C0 93 01 30 00 63 1A DF 29 -B7 00 00 80 13 DF 70 40 B7 0E 00 FF 93 01 40 00 -63 10 DF 29 B7 00 00 80 13 DF E0 40 B7 0E FE FF -93 01 50 00 63 16 DF 27 B7 00 00 80 93 80 10 00 -13 DF F0 41 93 0E F0 FF 93 01 60 00 63 1A DF 25 -B7 00 00 80 93 80 F0 FF 13 DF 00 40 B7 0E 00 80 -93 8E FE FF 93 01 70 00 63 1C DF 23 B7 00 00 80 -93 80 F0 FF 13 DF 10 40 B7 0E 00 40 93 8E FE FF -93 01 80 00 63 1E DF 21 B7 00 00 80 93 80 F0 FF -13 DF 70 40 B7 0E 00 01 93 8E FE FF 93 01 90 00 -63 10 DF 21 B7 00 00 80 93 80 F0 FF 13 DF E0 40 -B7 0E 02 00 93 8E FE FF 93 01 A0 00 63 12 DF 1F -B7 00 00 80 93 80 F0 FF 13 DF F0 41 93 0E 00 00 -93 01 B0 00 63 16 DF 1D B7 80 81 81 93 80 10 18 -13 DF 00 40 B7 8E 81 81 93 8E 1E 18 93 01 C0 00 -63 18 DF 1B B7 80 81 81 93 80 10 18 13 DF 10 40 -B7 CE C0 C0 93 8E 0E 0C 93 01 D0 00 63 1A DF 19 -B7 80 81 81 93 80 10 18 13 DF 70 40 B7 0E 03 FF -93 8E 3E 30 93 01 E0 00 63 1C DF 17 B7 80 81 81 -93 80 10 18 13 DF E0 40 B7 0E FE FF 93 8E 6E 60 -93 01 F0 00 63 1E DF 15 B7 80 81 81 93 80 10 18 -13 DF F0 41 93 0E F0 FF 93 01 00 01 63 12 DF 15 -B7 00 00 80 93 D0 70 40 B7 0E 00 FF 93 01 10 01 -63 98 D0 13 13 02 00 00 B7 00 00 80 13 DF 70 40 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 16 52 FE -B7 0E 00 FF 93 01 20 01 63 14 D3 11 13 02 00 00 -B7 00 00 80 13 DF E0 40 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 14 52 FE B7 0E FE FF -93 01 30 01 63 1E D3 0D 13 02 00 00 B7 00 00 80 -93 80 10 00 13 DF F0 41 13 00 00 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE -93 0E F0 FF 93 01 40 01 63 14 D3 0B 13 02 00 00 -B7 00 00 80 13 DF 70 40 13 02 12 00 93 02 20 00 -E3 18 52 FE B7 0E 00 FF 93 01 50 01 63 12 DF 09 -13 02 00 00 B7 00 00 80 13 00 00 00 13 DF E0 40 -13 02 12 00 93 02 20 00 E3 16 52 FE B7 0E FE FF -93 01 60 01 63 1E DF 05 13 02 00 00 B7 00 00 80 -93 80 10 00 13 00 00 00 13 00 00 00 13 DF F0 41 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E F0 FF -93 01 70 01 63 16 DF 03 93 50 40 40 93 0E 00 00 -93 01 80 01 63 9E D0 01 93 00 10 02 13 D0 A0 40 -93 0E 00 00 93 01 90 01 63 14 D0 01 63 18 30 00 -13 0D 10 00 93 0D 00 00 6F 00 00 00 13 0D 10 00 -93 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000340 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 00 00 13 DF 00 40 +93 0E 00 00 93 01 20 00 63 14 DF 2B B7 00 00 80 +13 DF 10 40 B7 0E 00 C0 93 01 30 00 63 1A DF 29 +B7 00 00 80 13 DF 70 40 B7 0E 00 FF 93 01 40 00 +63 10 DF 29 B7 00 00 80 13 DF E0 40 B7 0E FE FF +93 01 50 00 63 16 DF 27 B7 00 00 80 93 80 10 00 +13 DF F0 41 93 0E F0 FF 93 01 60 00 63 1A DF 25 +B7 00 00 80 93 80 F0 FF 13 DF 00 40 B7 0E 00 80 +93 8E FE FF 93 01 70 00 63 1C DF 23 B7 00 00 80 +93 80 F0 FF 13 DF 10 40 B7 0E 00 40 93 8E FE FF +93 01 80 00 63 1E DF 21 B7 00 00 80 93 80 F0 FF +13 DF 70 40 B7 0E 00 01 93 8E FE FF 93 01 90 00 +63 10 DF 21 B7 00 00 80 93 80 F0 FF 13 DF E0 40 +B7 0E 02 00 93 8E FE FF 93 01 A0 00 63 12 DF 1F +B7 00 00 80 93 80 F0 FF 13 DF F0 41 93 0E 00 00 +93 01 B0 00 63 16 DF 1D B7 80 81 81 93 80 10 18 +13 DF 00 40 B7 8E 81 81 93 8E 1E 18 93 01 C0 00 +63 18 DF 1B B7 80 81 81 93 80 10 18 13 DF 10 40 +B7 CE C0 C0 93 8E 0E 0C 93 01 D0 00 63 1A DF 19 +B7 80 81 81 93 80 10 18 13 DF 70 40 B7 0E 03 FF +93 8E 3E 30 93 01 E0 00 63 1C DF 17 B7 80 81 81 +93 80 10 18 13 DF E0 40 B7 0E FE FF 93 8E 6E 60 +93 01 F0 00 63 1E DF 15 B7 80 81 81 93 80 10 18 +13 DF F0 41 93 0E F0 FF 93 01 00 01 63 12 DF 15 +B7 00 00 80 93 D0 70 40 B7 0E 00 FF 93 01 10 01 +63 98 D0 13 13 02 00 00 B7 00 00 80 13 DF 70 40 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 16 52 FE +B7 0E 00 FF 93 01 20 01 63 14 D3 11 13 02 00 00 +B7 00 00 80 13 DF E0 40 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 14 52 FE B7 0E FE FF +93 01 30 01 63 1E D3 0D 13 02 00 00 B7 00 00 80 +93 80 10 00 13 DF F0 41 13 00 00 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE +93 0E F0 FF 93 01 40 01 63 14 D3 0B 13 02 00 00 +B7 00 00 80 13 DF 70 40 13 02 12 00 93 02 20 00 +E3 18 52 FE B7 0E 00 FF 93 01 50 01 63 12 DF 09 +13 02 00 00 B7 00 00 80 13 00 00 00 13 DF E0 40 +13 02 12 00 93 02 20 00 E3 16 52 FE B7 0E FE FF +93 01 60 01 63 1E DF 05 13 02 00 00 B7 00 00 80 +93 80 10 00 13 00 00 00 13 00 00 00 13 DF F0 41 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E F0 FF +93 01 70 01 63 16 DF 03 93 50 40 40 93 0E 00 00 +93 01 80 01 63 9E D0 01 93 00 10 02 13 D0 A0 40 +93 0E 00 00 93 01 90 01 63 14 D0 01 63 18 30 00 +93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 +13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000340 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-srl b/tests/isa/generated/rv32ui-p-srl old mode 100644 new mode 100755 index 886aac30a62debff4a0a7ac45dc52919ff2181c9..953c81eedc71352c1d7e0ff63e0f56716f566d2a GIT binary patch delta 1127 zcmYk+KWGzS7{~GFZPHkw#Yoa>+kh!%2&hq$AQmb_C>St9hYl8s5)&bTYGZm04nme9 z4iy@&NI?gYf({N91sxnSI%aTiaOmLRP$8qB-+Plwo(Jyk`TXw9<=*>uFn=_^6OVkK z66`m+bBcY5}oKO^S}g6g5l;;ixl z&MPnCRpkO+Q!e2>99d>g;Ew$H!m-T!cJK4BS=1_MO-iRXTWIpJqGAL<3(_~$sM z{L&k*ETz(ohc_SGFQ?YUxwqlmN%uCHkD-~*=48sgp{b(d)T?(l8PF=8bAQb)&80XD z7rxg2YspWEeZzbk_j2sig)-?5!@L<~SiDQ?o&0f@!EK}O@-cYhZpO}@e!+JnN8*=1 Fz5hCBUi|<7 delta 1051 zcmYk*Pe>GD7{~GFwO!GJFyS9s+`~p5j8Iq=Y9r7ksg<@+Fi4uqxGb!o?3x97aSc5f z6t!&!qYecfiY{76bu$vQqu`}mL6>d{T{=X4-yLW6d12mpKEHXF_kHJ`JxHym9wl10 zI;GYIBq2t+rBR|A{S)o&tu2mJTSSUH^=n6p74J)RsiUoI{NL32h#dY)QZ+U-S5D*C$|HDRc??JVZ;JU@zy!g7`p7(-QJ%uC@+_WKw#T=oynvr5FXI== z53o3PL(K0;gFFiZ-*2stn!^I$;Y_0+*zq6mkn)xtuPhhOyVvLPx8~gJNc!64D~m}t zT`CQGw>>vo%z4x9cz&kj=5l?}JHuy_`S*WgJEk&u=5c(qIv;m>G94mI9DsdieO&f# dm)p!0o(uJEOk}6J89#O6A^-helAs0a{|}wTTLb_A diff --git a/tests/isa/generated/rv32ui-p-srl.bin b/tests/isa/generated/rv32ui-p-srl.bin old mode 100644 new mode 100755 index a2bd30bbc2e571d61631a2f7d0b1d64a2cdd1234..a132403313689fecbbe864e9737a2d932075d398 GIT binary patch delta 29 jcmX@XbAo3>53As0UIqqXUIB)D1_p-7Kw5b6T-Mb9Z;=Mw delta 29 hcmX@XbAo3>538UsuK>ejUIvDI1_lN&d-7b?)c|YJ2HgMv diff --git a/tests/isa/generated/rv32ui-p-srl.dump b/tests/isa/generated/rv32ui-p-srl.dump index 42fb494..7efa8e1 100644 --- a/tests/isa/generated/rv32ui-p-srl.dump +++ b/tests/isa/generated/rv32ui-p-srl.dump @@ -446,15 +446,15 @@ Disassembly of section .text.init: 588: 00301863 bne zero,gp,598 0000058c : - 58c: 00100d13 li s10,1 - 590: 00000d93 li s11,0 + 58c: 00000d93 li s11,0 + 590: 00100d13 li s10,1 00000594 : 594: 0000006f j 594 00000598 : - 598: 00100d13 li s10,1 - 59c: 00100d93 li s11,1 + 598: 00100d93 li s11,1 + 59c: 00100d13 li s10,1 000005a0 : 5a0: 0000006f j 5a0 @@ -467,3 +467,18 @@ Disassembly of section .tohost: 00000640 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-srl.mem b/tests/isa/generated/rv32ui-p-srl.mem new file mode 100644 index 0000000..e4c8a83 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-srl.mem @@ -0,0 +1,402 @@ +00000d13 +00000d93 +800000b7 +00000113 +0020df33 +80000eb7 +00200193 +57df1863 +800000b7 +00100113 +0020df33 +40000eb7 +00300193 +55df1c63 +800000b7 +00700113 +0020df33 +01000eb7 +00400193 +55df1063 +800000b7 +00e00113 +0020df33 +00020eb7 +00500193 +53df1463 +800000b7 +00108093 +01f00113 +0020df33 +00100e93 +00600193 +51df1663 +fff00093 +00000113 +0020df33 +fff00e93 +00700193 +4fdf1a63 +fff00093 +00100113 +0020df33 +80000eb7 +fffe8e93 +00800193 +4ddf1c63 +fff00093 +00700113 +0020df33 +02000eb7 +fffe8e93 +00900193 +4bdf1e63 +fff00093 +00e00113 +0020df33 +00040eb7 +fffe8e93 +00a00193 +4bdf1063 +fff00093 +01f00113 +0020df33 +00100e93 +00b00193 +49df1463 +212120b7 +12108093 +00000113 +0020df33 +21212eb7 +121e8e93 +00c00193 +47df1463 +212120b7 +12108093 +00100113 +0020df33 +10909eb7 +090e8e93 +00d00193 +45df1463 +212120b7 +12108093 +00700113 +0020df33 +00424eb7 +242e8e93 +00e00193 +43df1463 +212120b7 +12108093 +00e00113 +0020df33 +00008eb7 +484e8e93 +00f00193 +41df1463 +212120b7 +12108093 +01f00113 +0020df33 +00000e93 +01000193 +3fdf1663 +212120b7 +12108093 +fc000113 +0020df33 +21212eb7 +121e8e93 +01100193 +3ddf1663 +212120b7 +12108093 +fc100113 +0020df33 +10909eb7 +090e8e93 +01200193 +3bdf1663 +212120b7 +12108093 +fc700113 +0020df33 +00424eb7 +242e8e93 +01300193 +39df1663 +212120b7 +12108093 +fce00113 +0020df33 +00008eb7 +484e8e93 +01400193 +37df1663 +212120b7 +12108093 +fff00113 +0020df33 +00000e93 +01500193 +35df1863 +800000b7 +00700113 +0020d0b3 +01000eb7 +01600193 +33d09c63 +800000b7 +00e00113 +0020d133 +00020eb7 +01700193 +33d11063 +00700093 +0010d0b3 +00000e93 +01800193 +31d09663 +00000213 +800000b7 +00700113 +0020df33 +000f0313 +00120213 +00200293 +fe5214e3 +01000eb7 +01900193 +2fd31063 +00000213 +800000b7 +00e00113 +0020df33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00020eb7 +01a00193 +2bd31863 +00000213 +800000b7 +01f00113 +0020df33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +00100e93 +01b00193 +27d31e63 +00000213 +800000b7 +00700113 +0020df33 +00120213 +00200293 +fe5216e3 +01000eb7 +01c00193 +25df1a63 +00000213 +800000b7 +00e00113 +00000013 +0020df33 +00120213 +00200293 +fe5214e3 +00020eb7 +01d00193 +23df1463 +00000213 +800000b7 +01f00113 +00000013 +00000013 +0020df33 +00120213 +00200293 +fe5212e3 +00100e93 +01e00193 +1fdf1c63 +00000213 +800000b7 +00000013 +00700113 +0020df33 +00120213 +00200293 +fe5214e3 +01000eb7 +01f00193 +1ddf1663 +00000213 +800000b7 +00000013 +00e00113 +00000013 +0020df33 +00120213 +00200293 +fe5212e3 +00020eb7 +02000193 +19df1e63 +00000213 +800000b7 +00000013 +00000013 +01f00113 +0020df33 +00120213 +00200293 +fe5212e3 +00100e93 +02100193 +17df1663 +00000213 +00700113 +800000b7 +0020df33 +00120213 +00200293 +fe5216e3 +01000eb7 +02200193 +15df1263 +00000213 +00e00113 +800000b7 +00000013 +0020df33 +00120213 +00200293 +fe5214e3 +00020eb7 +02300193 +11df1c63 +00000213 +01f00113 +800000b7 +00000013 +00000013 +0020df33 +00120213 +00200293 +fe5212e3 +00100e93 +02400193 +0fdf1463 +00000213 +00700113 +00000013 +800000b7 +0020df33 +00120213 +00200293 +fe5214e3 +01000eb7 +02500193 +0bdf1e63 +00000213 +00e00113 +00000013 +800000b7 +00000013 +0020df33 +00120213 +00200293 +fe5212e3 +00020eb7 +02600193 +09df1663 +00000213 +01f00113 +00000013 +00000013 +800000b7 +0020df33 +00120213 +00200293 +fe5212e3 +00100e93 +02700193 +05df1e63 +00f00093 +00105133 +00000e93 +02800193 +05d11463 +02000093 +0000d133 +02000e93 +02900193 +03d11a63 +000050b3 +00000e93 +02a00193 +03d09263 +40000093 +00001137 +80010113 +0020d033 +00000e93 +02b00193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-srl.verilog b/tests/isa/generated/rv32ui-p-srl.verilog old mode 100644 new mode 100755 index 8d60c38..cbe240e --- a/tests/isa/generated/rv32ui-p-srl.verilog +++ b/tests/isa/generated/rv32ui-p-srl.verilog @@ -1,100 +1,100 @@ -@00000000 -13 0D 00 00 93 0D 00 00 B7 00 00 80 13 01 00 00 -33 DF 20 00 B7 0E 00 80 93 01 20 00 63 18 DF 57 -B7 00 00 80 13 01 10 00 33 DF 20 00 B7 0E 00 40 -93 01 30 00 63 1C DF 55 B7 00 00 80 13 01 70 00 -33 DF 20 00 B7 0E 00 01 93 01 40 00 63 10 DF 55 -B7 00 00 80 13 01 E0 00 33 DF 20 00 B7 0E 02 00 -93 01 50 00 63 14 DF 53 B7 00 00 80 93 80 10 00 -13 01 F0 01 33 DF 20 00 93 0E 10 00 93 01 60 00 -63 16 DF 51 93 00 F0 FF 13 01 00 00 33 DF 20 00 -93 0E F0 FF 93 01 70 00 63 1A DF 4F 93 00 F0 FF -13 01 10 00 33 DF 20 00 B7 0E 00 80 93 8E FE FF -93 01 80 00 63 1C DF 4D 93 00 F0 FF 13 01 70 00 -33 DF 20 00 B7 0E 00 02 93 8E FE FF 93 01 90 00 -63 1E DF 4B 93 00 F0 FF 13 01 E0 00 33 DF 20 00 -B7 0E 04 00 93 8E FE FF 93 01 A0 00 63 10 DF 4B -93 00 F0 FF 13 01 F0 01 33 DF 20 00 93 0E 10 00 -93 01 B0 00 63 14 DF 49 B7 20 21 21 93 80 10 12 -13 01 00 00 33 DF 20 00 B7 2E 21 21 93 8E 1E 12 -93 01 C0 00 63 14 DF 47 B7 20 21 21 93 80 10 12 -13 01 10 00 33 DF 20 00 B7 9E 90 10 93 8E 0E 09 -93 01 D0 00 63 14 DF 45 B7 20 21 21 93 80 10 12 -13 01 70 00 33 DF 20 00 B7 4E 42 00 93 8E 2E 24 -93 01 E0 00 63 14 DF 43 B7 20 21 21 93 80 10 12 -13 01 E0 00 33 DF 20 00 B7 8E 00 00 93 8E 4E 48 -93 01 F0 00 63 14 DF 41 B7 20 21 21 93 80 10 12 -13 01 F0 01 33 DF 20 00 93 0E 00 00 93 01 00 01 -63 16 DF 3F B7 20 21 21 93 80 10 12 13 01 00 FC -33 DF 20 00 B7 2E 21 21 93 8E 1E 12 93 01 10 01 -63 16 DF 3D B7 20 21 21 93 80 10 12 13 01 10 FC -33 DF 20 00 B7 9E 90 10 93 8E 0E 09 93 01 20 01 -63 16 DF 3B B7 20 21 21 93 80 10 12 13 01 70 FC -33 DF 20 00 B7 4E 42 00 93 8E 2E 24 93 01 30 01 -63 16 DF 39 B7 20 21 21 93 80 10 12 13 01 E0 FC -33 DF 20 00 B7 8E 00 00 93 8E 4E 48 93 01 40 01 -63 16 DF 37 B7 20 21 21 93 80 10 12 13 01 F0 FF -33 DF 20 00 93 0E 00 00 93 01 50 01 63 18 DF 35 -B7 00 00 80 13 01 70 00 B3 D0 20 00 B7 0E 00 01 -93 01 60 01 63 9C D0 33 B7 00 00 80 13 01 E0 00 -33 D1 20 00 B7 0E 02 00 93 01 70 01 63 10 D1 33 -93 00 70 00 B3 D0 10 00 93 0E 00 00 93 01 80 01 -63 96 D0 31 13 02 00 00 B7 00 00 80 13 01 70 00 -33 DF 20 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 14 52 FE B7 0E 00 01 93 01 90 01 63 10 D3 2F -13 02 00 00 B7 00 00 80 13 01 E0 00 33 DF 20 00 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 0E 02 00 93 01 A0 01 63 18 D3 2B -13 02 00 00 B7 00 00 80 13 01 F0 01 33 DF 20 00 -13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 -93 02 20 00 E3 10 52 FE 93 0E 10 00 93 01 B0 01 -63 1E D3 27 13 02 00 00 B7 00 00 80 13 01 70 00 -33 DF 20 00 13 02 12 00 93 02 20 00 E3 16 52 FE -B7 0E 00 01 93 01 C0 01 63 1A DF 25 13 02 00 00 -B7 00 00 80 13 01 E0 00 13 00 00 00 33 DF 20 00 -13 02 12 00 93 02 20 00 E3 14 52 FE B7 0E 02 00 -93 01 D0 01 63 14 DF 23 13 02 00 00 B7 00 00 80 -13 01 F0 01 13 00 00 00 13 00 00 00 33 DF 20 00 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 10 00 -93 01 E0 01 63 1C DF 1F 13 02 00 00 B7 00 00 80 -13 00 00 00 13 01 70 00 33 DF 20 00 13 02 12 00 -93 02 20 00 E3 14 52 FE B7 0E 00 01 93 01 F0 01 -63 16 DF 1D 13 02 00 00 B7 00 00 80 13 00 00 00 -13 01 E0 00 13 00 00 00 33 DF 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 0E 02 00 93 01 00 02 -63 1E DF 19 13 02 00 00 B7 00 00 80 13 00 00 00 -13 00 00 00 13 01 F0 01 33 DF 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E 10 00 93 01 10 02 -63 16 DF 17 13 02 00 00 13 01 70 00 B7 00 00 80 -33 DF 20 00 13 02 12 00 93 02 20 00 E3 16 52 FE -B7 0E 00 01 93 01 20 02 63 12 DF 15 13 02 00 00 -13 01 E0 00 B7 00 00 80 13 00 00 00 33 DF 20 00 -13 02 12 00 93 02 20 00 E3 14 52 FE B7 0E 02 00 -93 01 30 02 63 1C DF 11 13 02 00 00 13 01 F0 01 -B7 00 00 80 13 00 00 00 13 00 00 00 33 DF 20 00 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 10 00 -93 01 40 02 63 14 DF 0F 13 02 00 00 13 01 70 00 -13 00 00 00 B7 00 00 80 33 DF 20 00 13 02 12 00 -93 02 20 00 E3 14 52 FE B7 0E 00 01 93 01 50 02 -63 1E DF 0B 13 02 00 00 13 01 E0 00 13 00 00 00 -B7 00 00 80 13 00 00 00 33 DF 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 0E 02 00 93 01 60 02 -63 16 DF 09 13 02 00 00 13 01 F0 01 13 00 00 00 -13 00 00 00 B7 00 00 80 33 DF 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E 10 00 93 01 70 02 -63 1E DF 05 93 00 F0 00 33 51 10 00 93 0E 00 00 -93 01 80 02 63 14 D1 05 93 00 00 02 33 D1 00 00 -93 0E 00 02 93 01 90 02 63 1A D1 03 B3 50 00 00 -93 0E 00 00 93 01 A0 02 63 92 D0 03 93 00 00 40 -37 11 00 00 13 01 01 80 33 D0 20 00 93 0E 00 00 -93 01 B0 02 63 14 D0 01 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000600 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 B7 00 00 80 13 01 00 00 +33 DF 20 00 B7 0E 00 80 93 01 20 00 63 18 DF 57 +B7 00 00 80 13 01 10 00 33 DF 20 00 B7 0E 00 40 +93 01 30 00 63 1C DF 55 B7 00 00 80 13 01 70 00 +33 DF 20 00 B7 0E 00 01 93 01 40 00 63 10 DF 55 +B7 00 00 80 13 01 E0 00 33 DF 20 00 B7 0E 02 00 +93 01 50 00 63 14 DF 53 B7 00 00 80 93 80 10 00 +13 01 F0 01 33 DF 20 00 93 0E 10 00 93 01 60 00 +63 16 DF 51 93 00 F0 FF 13 01 00 00 33 DF 20 00 +93 0E F0 FF 93 01 70 00 63 1A DF 4F 93 00 F0 FF +13 01 10 00 33 DF 20 00 B7 0E 00 80 93 8E FE FF +93 01 80 00 63 1C DF 4D 93 00 F0 FF 13 01 70 00 +33 DF 20 00 B7 0E 00 02 93 8E FE FF 93 01 90 00 +63 1E DF 4B 93 00 F0 FF 13 01 E0 00 33 DF 20 00 +B7 0E 04 00 93 8E FE FF 93 01 A0 00 63 10 DF 4B +93 00 F0 FF 13 01 F0 01 33 DF 20 00 93 0E 10 00 +93 01 B0 00 63 14 DF 49 B7 20 21 21 93 80 10 12 +13 01 00 00 33 DF 20 00 B7 2E 21 21 93 8E 1E 12 +93 01 C0 00 63 14 DF 47 B7 20 21 21 93 80 10 12 +13 01 10 00 33 DF 20 00 B7 9E 90 10 93 8E 0E 09 +93 01 D0 00 63 14 DF 45 B7 20 21 21 93 80 10 12 +13 01 70 00 33 DF 20 00 B7 4E 42 00 93 8E 2E 24 +93 01 E0 00 63 14 DF 43 B7 20 21 21 93 80 10 12 +13 01 E0 00 33 DF 20 00 B7 8E 00 00 93 8E 4E 48 +93 01 F0 00 63 14 DF 41 B7 20 21 21 93 80 10 12 +13 01 F0 01 33 DF 20 00 93 0E 00 00 93 01 00 01 +63 16 DF 3F B7 20 21 21 93 80 10 12 13 01 00 FC +33 DF 20 00 B7 2E 21 21 93 8E 1E 12 93 01 10 01 +63 16 DF 3D B7 20 21 21 93 80 10 12 13 01 10 FC +33 DF 20 00 B7 9E 90 10 93 8E 0E 09 93 01 20 01 +63 16 DF 3B B7 20 21 21 93 80 10 12 13 01 70 FC +33 DF 20 00 B7 4E 42 00 93 8E 2E 24 93 01 30 01 +63 16 DF 39 B7 20 21 21 93 80 10 12 13 01 E0 FC +33 DF 20 00 B7 8E 00 00 93 8E 4E 48 93 01 40 01 +63 16 DF 37 B7 20 21 21 93 80 10 12 13 01 F0 FF +33 DF 20 00 93 0E 00 00 93 01 50 01 63 18 DF 35 +B7 00 00 80 13 01 70 00 B3 D0 20 00 B7 0E 00 01 +93 01 60 01 63 9C D0 33 B7 00 00 80 13 01 E0 00 +33 D1 20 00 B7 0E 02 00 93 01 70 01 63 10 D1 33 +93 00 70 00 B3 D0 10 00 93 0E 00 00 93 01 80 01 +63 96 D0 31 13 02 00 00 B7 00 00 80 13 01 70 00 +33 DF 20 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 14 52 FE B7 0E 00 01 93 01 90 01 63 10 D3 2F +13 02 00 00 B7 00 00 80 13 01 E0 00 33 DF 20 00 +13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 0E 02 00 93 01 A0 01 63 18 D3 2B +13 02 00 00 B7 00 00 80 13 01 F0 01 33 DF 20 00 +13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 10 52 FE 93 0E 10 00 93 01 B0 01 +63 1E D3 27 13 02 00 00 B7 00 00 80 13 01 70 00 +33 DF 20 00 13 02 12 00 93 02 20 00 E3 16 52 FE +B7 0E 00 01 93 01 C0 01 63 1A DF 25 13 02 00 00 +B7 00 00 80 13 01 E0 00 13 00 00 00 33 DF 20 00 +13 02 12 00 93 02 20 00 E3 14 52 FE B7 0E 02 00 +93 01 D0 01 63 14 DF 23 13 02 00 00 B7 00 00 80 +13 01 F0 01 13 00 00 00 13 00 00 00 33 DF 20 00 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 10 00 +93 01 E0 01 63 1C DF 1F 13 02 00 00 B7 00 00 80 +13 00 00 00 13 01 70 00 33 DF 20 00 13 02 12 00 +93 02 20 00 E3 14 52 FE B7 0E 00 01 93 01 F0 01 +63 16 DF 1D 13 02 00 00 B7 00 00 80 13 00 00 00 +13 01 E0 00 13 00 00 00 33 DF 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 0E 02 00 93 01 00 02 +63 1E DF 19 13 02 00 00 B7 00 00 80 13 00 00 00 +13 00 00 00 13 01 F0 01 33 DF 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E 10 00 93 01 10 02 +63 16 DF 17 13 02 00 00 13 01 70 00 B7 00 00 80 +33 DF 20 00 13 02 12 00 93 02 20 00 E3 16 52 FE +B7 0E 00 01 93 01 20 02 63 12 DF 15 13 02 00 00 +13 01 E0 00 B7 00 00 80 13 00 00 00 33 DF 20 00 +13 02 12 00 93 02 20 00 E3 14 52 FE B7 0E 02 00 +93 01 30 02 63 1C DF 11 13 02 00 00 13 01 F0 01 +B7 00 00 80 13 00 00 00 13 00 00 00 33 DF 20 00 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 10 00 +93 01 40 02 63 14 DF 0F 13 02 00 00 13 01 70 00 +13 00 00 00 B7 00 00 80 33 DF 20 00 13 02 12 00 +93 02 20 00 E3 14 52 FE B7 0E 00 01 93 01 50 02 +63 1E DF 0B 13 02 00 00 13 01 E0 00 13 00 00 00 +B7 00 00 80 13 00 00 00 33 DF 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 0E 02 00 93 01 60 02 +63 16 DF 09 13 02 00 00 13 01 F0 01 13 00 00 00 +13 00 00 00 B7 00 00 80 33 DF 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E 10 00 93 01 70 02 +63 1E DF 05 93 00 F0 00 33 51 10 00 93 0E 00 00 +93 01 80 02 63 14 D1 05 93 00 00 02 33 D1 00 00 +93 0E 00 02 93 01 90 02 63 1A D1 03 B3 50 00 00 +93 0E 00 00 93 01 A0 02 63 92 D0 03 93 00 00 40 +37 11 00 00 13 01 01 80 33 D0 20 00 93 0E 00 00 +93 01 B0 02 63 14 D0 01 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000600 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-srli b/tests/isa/generated/rv32ui-p-srli old mode 100644 new mode 100755 index 6a59f97f76604d48816fc3be94f28d13779f6ad0..e2a2741df478b136d9547d0d3c94cb1bdf95a663 GIT binary patch delta 821 zcmYk4KS%;m9LIm>pZ-vidD&?MDJ?=|hf1{s1q}uT5)Bb8rh!I~_-_(4XsbcF#zu#R zh9XCYh8lv1poqpM_m-xjzVFUEe-GY!pU?NWcfa@U)zmw+9*{!{=`BV9k|;#|)OQmt zdAyp7NOrmD2v0T4IyaK1{uMuxe72A(lQaT!=F8DYHj+~t$tf)@k5%>Mx`UnUShd$2 z^z}Ck9k3#a9>JG3GmuCIPMV6hC%`K6Ab6E|2)y0%D$PN1y@2MyC(M_?7tF*go6LApTfi9{aMDKnSMD*q*@@Nmrw68Oc`t-~g%)|c&!5T?C9O$xRY@sF7^t zfz4KjVwXv`6J>Cjg?8A22T=SD4q3@0fGQFU&j0-^_c+F7quVD#3o!c>jGA zqGmy&LwJ$-6y9Pk!$-^)@ICW2Y-L~U7WObdz|orX_=Jxr6lw+d6)rM=!4>8=_>B1z zzGVJ^NfwhhS*S%c$J}8yOO%wcVHXPF2IGEXK@U8_>=g@`X>>@P$)?w{YGfifJwCbZ zQ-hioN^B(5NG6q7QDf; 000002a8 : - 2a8: 00100d13 li s10,1 - 2ac: 00000d93 li s11,0 + 2a8: 00000d93 li s11,0 + 2ac: 00100d13 li s10,1 000002b0 : 2b0: 0000006f j 2b0 000002b4 : - 2b4: 00100d13 li s10,1 - 2b8: 00100d93 li s11,1 + 2b4: 00100d93 li s11,1 + 2b8: 00100d13 li s10,1 000002bc : 2bc: 0000006f j 2bc @@ -247,3 +247,18 @@ Disassembly of section .tohost: 00000340 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-srli.mem b/tests/isa/generated/rv32ui-p-srli.mem new file mode 100644 index 0000000..6f772a2 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-srli.mem @@ -0,0 +1,210 @@ +00000d13 +00000d93 +800000b7 +0000df13 +80000eb7 +00200193 +29df1863 +800000b7 +0010df13 +40000eb7 +00300193 +27df1e63 +800000b7 +0070df13 +01000eb7 +00400193 +27df1463 +800000b7 +00e0df13 +00020eb7 +00500193 +25df1a63 +800000b7 +00108093 +01f0df13 +00100e93 +00600193 +23df1e63 +fff00093 +0000df13 +fff00e93 +00700193 +23df1463 +fff00093 +0010df13 +80000eb7 +fffe8e93 +00800193 +21df1863 +fff00093 +0070df13 +02000eb7 +fffe8e93 +00900193 +1fdf1c63 +fff00093 +00e0df13 +00040eb7 +fffe8e93 +00a00193 +1fdf1063 +fff00093 +01f0df13 +00100e93 +00b00193 +1ddf1663 +212120b7 +12108093 +0000df13 +21212eb7 +121e8e93 +00c00193 +1bdf1863 +212120b7 +12108093 +0010df13 +10909eb7 +090e8e93 +00d00193 +19df1a63 +212120b7 +12108093 +0070df13 +00424eb7 +242e8e93 +00e00193 +17df1c63 +212120b7 +12108093 +00e0df13 +00008eb7 +484e8e93 +00f00193 +15df1e63 +212120b7 +12108093 +01f0df13 +00000e93 +01000193 +15df1263 +800000b7 +0070d093 +01000eb7 +01100193 +13d09863 +00000213 +800000b7 +0070df13 +000f0313 +00120213 +00200293 +fe5216e3 +01000eb7 +01200193 +11d31463 +00000213 +800000b7 +00e0df13 +00000013 +000f0313 +00120213 +00200293 +fe5214e3 +00020eb7 +01300193 +0dd31e63 +00000213 +800000b7 +00108093 +01f0df13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +00100e93 +01400193 +0bd31463 +00000213 +800000b7 +0070df13 +00120213 +00200293 +fe5218e3 +01000eb7 +01500193 +09df1263 +00000213 +800000b7 +00000013 +00e0df13 +00120213 +00200293 +fe5216e3 +00020eb7 +01600193 +05df1e63 +00000213 +800000b7 +00108093 +00000013 +00000013 +01f0df13 +00120213 +00200293 +fe5212e3 +00100e93 +01700193 +03df1663 +00405093 +00000e93 +01800193 +01d09e63 +02100093 +00a0d013 +00000e93 +01900193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-srli.verilog b/tests/isa/generated/rv32ui-p-srli.verilog old mode 100644 new mode 100755 index 9558f1d..522b3a9 --- a/tests/isa/generated/rv32ui-p-srli.verilog +++ b/tests/isa/generated/rv32ui-p-srli.verilog @@ -1,52 +1,52 @@ -@00000000 -13 0D 00 00 93 0D 00 00 B7 00 00 80 13 DF 00 00 -B7 0E 00 80 93 01 20 00 63 18 DF 29 B7 00 00 80 -13 DF 10 00 B7 0E 00 40 93 01 30 00 63 1E DF 27 -B7 00 00 80 13 DF 70 00 B7 0E 00 01 93 01 40 00 -63 14 DF 27 B7 00 00 80 13 DF E0 00 B7 0E 02 00 -93 01 50 00 63 1A DF 25 B7 00 00 80 93 80 10 00 -13 DF F0 01 93 0E 10 00 93 01 60 00 63 1E DF 23 -93 00 F0 FF 13 DF 00 00 93 0E F0 FF 93 01 70 00 -63 14 DF 23 93 00 F0 FF 13 DF 10 00 B7 0E 00 80 -93 8E FE FF 93 01 80 00 63 18 DF 21 93 00 F0 FF -13 DF 70 00 B7 0E 00 02 93 8E FE FF 93 01 90 00 -63 1C DF 1F 93 00 F0 FF 13 DF E0 00 B7 0E 04 00 -93 8E FE FF 93 01 A0 00 63 10 DF 1F 93 00 F0 FF -13 DF F0 01 93 0E 10 00 93 01 B0 00 63 16 DF 1D -B7 20 21 21 93 80 10 12 13 DF 00 00 B7 2E 21 21 -93 8E 1E 12 93 01 C0 00 63 18 DF 1B B7 20 21 21 -93 80 10 12 13 DF 10 00 B7 9E 90 10 93 8E 0E 09 -93 01 D0 00 63 1A DF 19 B7 20 21 21 93 80 10 12 -13 DF 70 00 B7 4E 42 00 93 8E 2E 24 93 01 E0 00 -63 1C DF 17 B7 20 21 21 93 80 10 12 13 DF E0 00 -B7 8E 00 00 93 8E 4E 48 93 01 F0 00 63 1E DF 15 -B7 20 21 21 93 80 10 12 13 DF F0 01 93 0E 00 00 -93 01 00 01 63 12 DF 15 B7 00 00 80 93 D0 70 00 -B7 0E 00 01 93 01 10 01 63 98 D0 13 13 02 00 00 -B7 00 00 80 13 DF 70 00 13 03 0F 00 13 02 12 00 -93 02 20 00 E3 16 52 FE B7 0E 00 01 93 01 20 01 -63 14 D3 11 13 02 00 00 B7 00 00 80 13 DF E0 00 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 14 52 FE B7 0E 02 00 93 01 30 01 63 1E D3 0D -13 02 00 00 B7 00 00 80 93 80 10 00 13 DF F0 01 -13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 -93 02 20 00 E3 10 52 FE 93 0E 10 00 93 01 40 01 -63 14 D3 0B 13 02 00 00 B7 00 00 80 13 DF 70 00 -13 02 12 00 93 02 20 00 E3 18 52 FE B7 0E 00 01 -93 01 50 01 63 12 DF 09 13 02 00 00 B7 00 00 80 -13 00 00 00 13 DF E0 00 13 02 12 00 93 02 20 00 -E3 16 52 FE B7 0E 02 00 93 01 60 01 63 1E DF 05 -13 02 00 00 B7 00 00 80 93 80 10 00 13 00 00 00 -13 00 00 00 13 DF F0 01 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 10 00 93 01 70 01 63 16 DF 03 -93 50 40 00 93 0E 00 00 93 01 80 01 63 9E D0 01 -93 00 10 02 13 D0 A0 00 93 0E 00 00 93 01 90 01 -63 14 D0 01 63 18 30 00 13 0D 10 00 93 0D 00 00 -6F 00 00 00 13 0D 10 00 93 0D 10 00 6F 00 00 00 -00 00 00 00 -@00000300 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 B7 00 00 80 13 DF 00 00 +B7 0E 00 80 93 01 20 00 63 18 DF 29 B7 00 00 80 +13 DF 10 00 B7 0E 00 40 93 01 30 00 63 1E DF 27 +B7 00 00 80 13 DF 70 00 B7 0E 00 01 93 01 40 00 +63 14 DF 27 B7 00 00 80 13 DF E0 00 B7 0E 02 00 +93 01 50 00 63 1A DF 25 B7 00 00 80 93 80 10 00 +13 DF F0 01 93 0E 10 00 93 01 60 00 63 1E DF 23 +93 00 F0 FF 13 DF 00 00 93 0E F0 FF 93 01 70 00 +63 14 DF 23 93 00 F0 FF 13 DF 10 00 B7 0E 00 80 +93 8E FE FF 93 01 80 00 63 18 DF 21 93 00 F0 FF +13 DF 70 00 B7 0E 00 02 93 8E FE FF 93 01 90 00 +63 1C DF 1F 93 00 F0 FF 13 DF E0 00 B7 0E 04 00 +93 8E FE FF 93 01 A0 00 63 10 DF 1F 93 00 F0 FF +13 DF F0 01 93 0E 10 00 93 01 B0 00 63 16 DF 1D +B7 20 21 21 93 80 10 12 13 DF 00 00 B7 2E 21 21 +93 8E 1E 12 93 01 C0 00 63 18 DF 1B B7 20 21 21 +93 80 10 12 13 DF 10 00 B7 9E 90 10 93 8E 0E 09 +93 01 D0 00 63 1A DF 19 B7 20 21 21 93 80 10 12 +13 DF 70 00 B7 4E 42 00 93 8E 2E 24 93 01 E0 00 +63 1C DF 17 B7 20 21 21 93 80 10 12 13 DF E0 00 +B7 8E 00 00 93 8E 4E 48 93 01 F0 00 63 1E DF 15 +B7 20 21 21 93 80 10 12 13 DF F0 01 93 0E 00 00 +93 01 00 01 63 12 DF 15 B7 00 00 80 93 D0 70 00 +B7 0E 00 01 93 01 10 01 63 98 D0 13 13 02 00 00 +B7 00 00 80 13 DF 70 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 16 52 FE B7 0E 00 01 93 01 20 01 +63 14 D3 11 13 02 00 00 B7 00 00 80 13 DF E0 00 +13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 14 52 FE B7 0E 02 00 93 01 30 01 63 1E D3 0D +13 02 00 00 B7 00 00 80 93 80 10 00 13 DF F0 01 +13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 10 52 FE 93 0E 10 00 93 01 40 01 +63 14 D3 0B 13 02 00 00 B7 00 00 80 13 DF 70 00 +13 02 12 00 93 02 20 00 E3 18 52 FE B7 0E 00 01 +93 01 50 01 63 12 DF 09 13 02 00 00 B7 00 00 80 +13 00 00 00 13 DF E0 00 13 02 12 00 93 02 20 00 +E3 16 52 FE B7 0E 02 00 93 01 60 01 63 1E DF 05 +13 02 00 00 B7 00 00 80 93 80 10 00 13 00 00 00 +13 00 00 00 13 DF F0 01 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 10 00 93 01 70 01 63 16 DF 03 +93 50 40 00 93 0E 00 00 93 01 80 01 63 9E D0 01 +93 00 10 02 13 D0 A0 00 93 0E 00 00 93 01 90 01 +63 14 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 +6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +00 00 00 00 +@00000300 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-sub b/tests/isa/generated/rv32ui-p-sub old mode 100644 new mode 100755 index 858f941c80991b6c4148156346ea99b5c299bcb8..7929731bd1fa2e932b8e9a7ecdbca6003325a6f5 GIT binary patch delta 1015 zcmYk*KS&!<9KiA4GiU#R(v&1LMxl|mU@4|zL|p_6;v^*n>C_G}77Ptk;?+q=h7K7V z8m^@o92_zfbZDuAgQJ6kgF`3|4hjwq9a`wnq0oNsC3pG#;NJUve)sO(y}NtoliO48 z)3I7gY)nX6jEu;2x%M^tF`n@HMPmI?dBa(c-sbiE&cKraD&^u@VO`8E(%$lVcDy*g zI#$o$^_q!z#ZK30A`)TNZaDJmUmv^?mW(`Pz>yOajKoOO0lC_Lz-i@S@|^Mr`AXLn zc?_!Ef=rS(lxN8ImFLJ$l^4kWC@+#H!&Ml0fv1(1aV~VlNQuHqSTN$^s`3VID8IvB zlsECA@(27=c?+j|Xlxj1(k}+C@XB^6Yy<_ohd(PH;8W#8>_lj67&)e&P;TL6JQZjSVAz>F1OkR_7}B;hJ(BZz&JqUF9rpweA0ZM($HMQ3Epg zOxb?FX!~)Z(j)Vn7v<-^I}v5Rm$=s3F8Je@a8>y=p0#FA_yeR+c$Rxw%9g%;Pku7D zGj_*h@~&GhF1>YMt=037yhbWFoMBF0)M{|ET0-m}AJ}*osXL=hs$&fE?=Z^Yuf%`a bn;hY5CT}I#o8E)Ld&zmW%`BB5g7y3dSD#iu delta 955 zcmZA0O(+Cm7zgm@T}$?$Ms{o2%ZLN{sEvGG*od@fW0f)vYi+I2F6$z)%f%`O+a#+T zxKR$|!i`e6Q4SQvjT<*k{Ab3DCvUy)`~3bh)BCaQPUCaKx?B`5A-&a;OoA#Xk8+Rd zHk_`a0*TZDq8OeUwM4N@=u$m%Ig`TpMj!3;@$E&GZ)Jk|@WPed3Bn<=Ezko(jpD>T3zGt3B{mDFs+HIXe&=TClyb1@HGjP;0 z&cB5qWd(wE;Vkn$e8_wRUofA-@66}0Y{Q^8LD!gr%(w7@=_Ib`0l}Ubz%TGU^BZi> z!Js!mADHWzzu+-ufz7NjJKz)MLiqL9Ny37~2%PLUE`>XoE8$sYFPvs>hPRo0@B#A> ze8=1k-x$XF*uVgSNA|)9OcJ_2*=XXQ=QaN}3EwZn>0Bd_$VMx0ig_JwW2W{NWiSz$ zNhsw#-M+pq|Dsp%#p4~J*^tr`3x_6^U}P$;gv0)^{zPZp@soQ~WJash!;*kn;gM?6 nE+QE>sBO6#U7NM-I?%0)M!n}I+EgQ+GIuNfo3%*HW**=NQGiwX diff --git a/tests/isa/generated/rv32ui-p-sub.bin b/tests/isa/generated/rv32ui-p-sub.bin old mode 100644 new mode 100755 index 96d5e2b60b6cccc373eef4c566618ca5a00e9a93..93007c2fb6386da44dd4563241a33466bbfa1696 GIT binary patch delta 37 ocmeC+?%>{Vf<<&PF9U-xuK+_n0|UckAPr_ue#o+X@&^_c0HCP|@Bjb+ delta 37 ocmeC+?%>{Vf<;uASAbzMF9Sn90|NtuEx?dJ`60{l$sbr)0G#Ov@Bjb+ diff --git a/tests/isa/generated/rv32ui-p-sub.dump b/tests/isa/generated/rv32ui-p-sub.dump index 60dc8d3..5902c74 100644 --- a/tests/isa/generated/rv32ui-p-sub.dump +++ b/tests/isa/generated/rv32ui-p-sub.dump @@ -385,15 +385,15 @@ Disassembly of section .text.init: 4c4: 00301863 bne zero,gp,4d4 000004c8 : - 4c8: 00100d13 li s10,1 - 4cc: 00000d93 li s11,0 + 4c8: 00000d93 li s11,0 + 4cc: 00100d13 li s10,1 000004d0 : 4d0: 0000006f j 4d0 000004d4 : - 4d4: 00100d13 li s10,1 - 4d8: 00100d93 li s11,1 + 4d4: 00100d93 li s11,1 + 4d8: 00100d13 li s10,1 000004dc : 4dc: 0000006f j 4dc @@ -406,3 +406,18 @@ Disassembly of section .tohost: 00000580 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-sub.mem b/tests/isa/generated/rv32ui-p-sub.mem new file mode 100644 index 0000000..1253927 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-sub.mem @@ -0,0 +1,354 @@ +00000d13 +00000d93 +00000093 +00000113 +40208f33 +00000e93 +00200193 +4bdf1663 +00100093 +00100113 +40208f33 +00000e93 +00300193 +49df1a63 +00300093 +00700113 +40208f33 +ffc00e93 +00400193 +47df1e63 +00000093 +ffff8137 +40208f33 +00008eb7 +00500193 +47df1263 +800000b7 +00000113 +40208f33 +80000eb7 +00600193 +45df1663 +800000b7 +ffff8137 +40208f33 +80008eb7 +00700193 +43df1a63 +00000093 +00008137 +fff10113 +40208f33 +ffff8eb7 +001e8e93 +00800193 +41df1a63 +800000b7 +fff08093 +00000113 +40208f33 +80000eb7 +fffe8e93 +00900193 +3fdf1a63 +800000b7 +fff08093 +00008137 +fff10113 +40208f33 +7fff8eb7 +00a00193 +3ddf1a63 +800000b7 +00008137 +fff10113 +40208f33 +7fff8eb7 +001e8e93 +00b00193 +3bdf1a63 +800000b7 +fff08093 +ffff8137 +40208f33 +80008eb7 +fffe8e93 +00c00193 +39df1a63 +00000093 +fff00113 +40208f33 +00100e93 +00d00193 +37df1e63 +fff00093 +00100113 +40208f33 +ffe00e93 +00e00193 +37df1263 +fff00093 +fff00113 +40208f33 +00000e93 +00f00193 +35df1663 +00d00093 +00b00113 +402080b3 +00200e93 +01000193 +33d09a63 +00e00093 +00b00113 +40208133 +00300e93 +01100193 +31d11e63 +00d00093 +401080b3 +00000e93 +01200193 +31d09463 +00000213 +00d00093 +00b00113 +40208f33 +000f0313 +00120213 +00200293 +fe5214e3 +00200e93 +01300193 +2dd31e63 +00000213 +00e00093 +00b00113 +40208f33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00300e93 +01400193 +2bd31663 +00000213 +00f00093 +00b00113 +40208f33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +00400e93 +01500193 +27d31c63 +00000213 +00d00093 +00b00113 +40208f33 +00120213 +00200293 +fe5216e3 +00200e93 +01600193 +25df1863 +00000213 +00e00093 +00b00113 +00000013 +40208f33 +00120213 +00200293 +fe5214e3 +00300e93 +01700193 +23df1263 +00000213 +00f00093 +00b00113 +00000013 +00000013 +40208f33 +00120213 +00200293 +fe5212e3 +00400e93 +01800193 +1fdf1a63 +00000213 +00d00093 +00000013 +00b00113 +40208f33 +00120213 +00200293 +fe5214e3 +00200e93 +01900193 +1ddf1463 +00000213 +00e00093 +00000013 +00b00113 +00000013 +40208f33 +00120213 +00200293 +fe5212e3 +00300e93 +01a00193 +19df1c63 +00000213 +00f00093 +00000013 +00000013 +00b00113 +40208f33 +00120213 +00200293 +fe5212e3 +00400e93 +01b00193 +17df1463 +00000213 +00b00113 +00d00093 +40208f33 +00120213 +00200293 +fe5216e3 +00200e93 +01c00193 +15df1063 +00000213 +00b00113 +00e00093 +00000013 +40208f33 +00120213 +00200293 +fe5214e3 +00300e93 +01d00193 +11df1a63 +00000213 +00b00113 +00f00093 +00000013 +00000013 +40208f33 +00120213 +00200293 +fe5212e3 +00400e93 +01e00193 +0fdf1263 +00000213 +00b00113 +00000013 +00d00093 +40208f33 +00120213 +00200293 +fe5214e3 +00200e93 +01f00193 +0bdf1c63 +00000213 +00b00113 +00000013 +00e00093 +00000013 +40208f33 +00120213 +00200293 +fe5212e3 +00300e93 +02000193 +09df1463 +00000213 +00b00113 +00000013 +00000013 +00f00093 +40208f33 +00120213 +00200293 +fe5212e3 +00400e93 +02100193 +05df1c63 +ff100093 +40100133 +00f00e93 +02200193 +05d11263 +02000093 +40008133 +02000e93 +02300193 +03d11863 +400000b3 +00000e93 +02400193 +03d09063 +01000093 +01e00113 +40208033 +00000e93 +02500193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-sub.verilog b/tests/isa/generated/rv32ui-p-sub.verilog old mode 100644 new mode 100755 index 1920e50..4b082a6 --- a/tests/isa/generated/rv32ui-p-sub.verilog +++ b/tests/isa/generated/rv32ui-p-sub.verilog @@ -1,88 +1,88 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 -33 8F 20 40 93 0E 00 00 93 01 20 00 63 16 DF 4B -93 00 10 00 13 01 10 00 33 8F 20 40 93 0E 00 00 -93 01 30 00 63 1A DF 49 93 00 30 00 13 01 70 00 -33 8F 20 40 93 0E C0 FF 93 01 40 00 63 1E DF 47 -93 00 00 00 37 81 FF FF 33 8F 20 40 B7 8E 00 00 -93 01 50 00 63 12 DF 47 B7 00 00 80 13 01 00 00 -33 8F 20 40 B7 0E 00 80 93 01 60 00 63 16 DF 45 -B7 00 00 80 37 81 FF FF 33 8F 20 40 B7 8E 00 80 -93 01 70 00 63 1A DF 43 93 00 00 00 37 81 00 00 -13 01 F1 FF 33 8F 20 40 B7 8E FF FF 93 8E 1E 00 -93 01 80 00 63 1A DF 41 B7 00 00 80 93 80 F0 FF -13 01 00 00 33 8F 20 40 B7 0E 00 80 93 8E FE FF -93 01 90 00 63 1A DF 3F B7 00 00 80 93 80 F0 FF -37 81 00 00 13 01 F1 FF 33 8F 20 40 B7 8E FF 7F -93 01 A0 00 63 1A DF 3D B7 00 00 80 37 81 00 00 -13 01 F1 FF 33 8F 20 40 B7 8E FF 7F 93 8E 1E 00 -93 01 B0 00 63 1A DF 3B B7 00 00 80 93 80 F0 FF -37 81 FF FF 33 8F 20 40 B7 8E 00 80 93 8E FE FF -93 01 C0 00 63 1A DF 39 93 00 00 00 13 01 F0 FF -33 8F 20 40 93 0E 10 00 93 01 D0 00 63 1E DF 37 -93 00 F0 FF 13 01 10 00 33 8F 20 40 93 0E E0 FF -93 01 E0 00 63 12 DF 37 93 00 F0 FF 13 01 F0 FF -33 8F 20 40 93 0E 00 00 93 01 F0 00 63 16 DF 35 -93 00 D0 00 13 01 B0 00 B3 80 20 40 93 0E 20 00 -93 01 00 01 63 9A D0 33 93 00 E0 00 13 01 B0 00 -33 81 20 40 93 0E 30 00 93 01 10 01 63 1E D1 31 -93 00 D0 00 B3 80 10 40 93 0E 00 00 93 01 20 01 -63 94 D0 31 13 02 00 00 93 00 D0 00 13 01 B0 00 -33 8F 20 40 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 20 00 93 01 30 01 63 1E D3 2D -13 02 00 00 93 00 E0 00 13 01 B0 00 33 8F 20 40 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 30 00 93 01 40 01 63 16 D3 2B -13 02 00 00 93 00 F0 00 13 01 B0 00 33 8F 20 40 -13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 -93 02 20 00 E3 10 52 FE 93 0E 40 00 93 01 50 01 -63 1C D3 27 13 02 00 00 93 00 D0 00 13 01 B0 00 -33 8F 20 40 13 02 12 00 93 02 20 00 E3 16 52 FE -93 0E 20 00 93 01 60 01 63 18 DF 25 13 02 00 00 -93 00 E0 00 13 01 B0 00 13 00 00 00 33 8F 20 40 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 30 00 -93 01 70 01 63 12 DF 23 13 02 00 00 93 00 F0 00 -13 01 B0 00 13 00 00 00 13 00 00 00 33 8F 20 40 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 40 00 -93 01 80 01 63 1A DF 1F 13 02 00 00 93 00 D0 00 -13 00 00 00 13 01 B0 00 33 8F 20 40 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 0E 20 00 93 01 90 01 -63 14 DF 1D 13 02 00 00 93 00 E0 00 13 00 00 00 -13 01 B0 00 13 00 00 00 33 8F 20 40 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E 30 00 93 01 A0 01 -63 1C DF 19 13 02 00 00 93 00 F0 00 13 00 00 00 -13 00 00 00 13 01 B0 00 33 8F 20 40 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E 40 00 93 01 B0 01 -63 14 DF 17 13 02 00 00 13 01 B0 00 93 00 D0 00 -33 8F 20 40 13 02 12 00 93 02 20 00 E3 16 52 FE -93 0E 20 00 93 01 C0 01 63 10 DF 15 13 02 00 00 -13 01 B0 00 93 00 E0 00 13 00 00 00 33 8F 20 40 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 30 00 -93 01 D0 01 63 1A DF 11 13 02 00 00 13 01 B0 00 -93 00 F0 00 13 00 00 00 13 00 00 00 33 8F 20 40 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 40 00 -93 01 E0 01 63 12 DF 0F 13 02 00 00 13 01 B0 00 -13 00 00 00 93 00 D0 00 33 8F 20 40 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 0E 20 00 93 01 F0 01 -63 1C DF 0B 13 02 00 00 13 01 B0 00 13 00 00 00 -93 00 E0 00 13 00 00 00 33 8F 20 40 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E 30 00 93 01 00 02 -63 14 DF 09 13 02 00 00 13 01 B0 00 13 00 00 00 -13 00 00 00 93 00 F0 00 33 8F 20 40 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E 40 00 93 01 10 02 -63 1C DF 05 93 00 10 FF 33 01 10 40 93 0E F0 00 -93 01 20 02 63 12 D1 05 93 00 00 02 33 81 00 40 -93 0E 00 02 93 01 30 02 63 18 D1 03 B3 00 00 40 -93 0E 00 00 93 01 40 02 63 90 D0 03 93 00 00 01 -13 01 E0 01 33 80 20 40 93 0E 00 00 93 01 50 02 -63 14 D0 01 63 18 30 00 13 0D 10 00 93 0D 00 00 -6F 00 00 00 13 0D 10 00 93 0D 10 00 6F 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000540 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 +33 8F 20 40 93 0E 00 00 93 01 20 00 63 16 DF 4B +93 00 10 00 13 01 10 00 33 8F 20 40 93 0E 00 00 +93 01 30 00 63 1A DF 49 93 00 30 00 13 01 70 00 +33 8F 20 40 93 0E C0 FF 93 01 40 00 63 1E DF 47 +93 00 00 00 37 81 FF FF 33 8F 20 40 B7 8E 00 00 +93 01 50 00 63 12 DF 47 B7 00 00 80 13 01 00 00 +33 8F 20 40 B7 0E 00 80 93 01 60 00 63 16 DF 45 +B7 00 00 80 37 81 FF FF 33 8F 20 40 B7 8E 00 80 +93 01 70 00 63 1A DF 43 93 00 00 00 37 81 00 00 +13 01 F1 FF 33 8F 20 40 B7 8E FF FF 93 8E 1E 00 +93 01 80 00 63 1A DF 41 B7 00 00 80 93 80 F0 FF +13 01 00 00 33 8F 20 40 B7 0E 00 80 93 8E FE FF +93 01 90 00 63 1A DF 3F B7 00 00 80 93 80 F0 FF +37 81 00 00 13 01 F1 FF 33 8F 20 40 B7 8E FF 7F +93 01 A0 00 63 1A DF 3D B7 00 00 80 37 81 00 00 +13 01 F1 FF 33 8F 20 40 B7 8E FF 7F 93 8E 1E 00 +93 01 B0 00 63 1A DF 3B B7 00 00 80 93 80 F0 FF +37 81 FF FF 33 8F 20 40 B7 8E 00 80 93 8E FE FF +93 01 C0 00 63 1A DF 39 93 00 00 00 13 01 F0 FF +33 8F 20 40 93 0E 10 00 93 01 D0 00 63 1E DF 37 +93 00 F0 FF 13 01 10 00 33 8F 20 40 93 0E E0 FF +93 01 E0 00 63 12 DF 37 93 00 F0 FF 13 01 F0 FF +33 8F 20 40 93 0E 00 00 93 01 F0 00 63 16 DF 35 +93 00 D0 00 13 01 B0 00 B3 80 20 40 93 0E 20 00 +93 01 00 01 63 9A D0 33 93 00 E0 00 13 01 B0 00 +33 81 20 40 93 0E 30 00 93 01 10 01 63 1E D1 31 +93 00 D0 00 B3 80 10 40 93 0E 00 00 93 01 20 01 +63 94 D0 31 13 02 00 00 93 00 D0 00 13 01 B0 00 +33 8F 20 40 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 20 00 93 01 30 01 63 1E D3 2D +13 02 00 00 93 00 E0 00 13 01 B0 00 33 8F 20 40 +13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 30 00 93 01 40 01 63 16 D3 2B +13 02 00 00 93 00 F0 00 13 01 B0 00 33 8F 20 40 +13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 10 52 FE 93 0E 40 00 93 01 50 01 +63 1C D3 27 13 02 00 00 93 00 D0 00 13 01 B0 00 +33 8F 20 40 13 02 12 00 93 02 20 00 E3 16 52 FE +93 0E 20 00 93 01 60 01 63 18 DF 25 13 02 00 00 +93 00 E0 00 13 01 B0 00 13 00 00 00 33 8F 20 40 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 30 00 +93 01 70 01 63 12 DF 23 13 02 00 00 93 00 F0 00 +13 01 B0 00 13 00 00 00 13 00 00 00 33 8F 20 40 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 40 00 +93 01 80 01 63 1A DF 1F 13 02 00 00 93 00 D0 00 +13 00 00 00 13 01 B0 00 33 8F 20 40 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 0E 20 00 93 01 90 01 +63 14 DF 1D 13 02 00 00 93 00 E0 00 13 00 00 00 +13 01 B0 00 13 00 00 00 33 8F 20 40 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E 30 00 93 01 A0 01 +63 1C DF 19 13 02 00 00 93 00 F0 00 13 00 00 00 +13 00 00 00 13 01 B0 00 33 8F 20 40 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E 40 00 93 01 B0 01 +63 14 DF 17 13 02 00 00 13 01 B0 00 93 00 D0 00 +33 8F 20 40 13 02 12 00 93 02 20 00 E3 16 52 FE +93 0E 20 00 93 01 C0 01 63 10 DF 15 13 02 00 00 +13 01 B0 00 93 00 E0 00 13 00 00 00 33 8F 20 40 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 30 00 +93 01 D0 01 63 1A DF 11 13 02 00 00 13 01 B0 00 +93 00 F0 00 13 00 00 00 13 00 00 00 33 8F 20 40 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 40 00 +93 01 E0 01 63 12 DF 0F 13 02 00 00 13 01 B0 00 +13 00 00 00 93 00 D0 00 33 8F 20 40 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 0E 20 00 93 01 F0 01 +63 1C DF 0B 13 02 00 00 13 01 B0 00 13 00 00 00 +93 00 E0 00 13 00 00 00 33 8F 20 40 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E 30 00 93 01 00 02 +63 14 DF 09 13 02 00 00 13 01 B0 00 13 00 00 00 +13 00 00 00 93 00 F0 00 33 8F 20 40 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E 40 00 93 01 10 02 +63 1C DF 05 93 00 10 FF 33 01 10 40 93 0E F0 00 +93 01 20 02 63 12 D1 05 93 00 00 02 33 81 00 40 +93 0E 00 02 93 01 30 02 63 18 D1 03 B3 00 00 40 +93 0E 00 00 93 01 40 02 63 90 D0 03 93 00 00 01 +13 01 E0 01 33 80 20 40 93 0E 00 00 93 01 50 02 +63 14 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 +6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000540 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-sw b/tests/isa/generated/rv32ui-p-sw old mode 100644 new mode 100755 index 750124ace983013845c08b51ae77cc5d9ff143a8..791cdcd79048f35a220d02efb89c7e00c7d5a73a GIT binary patch delta 964 zcmYk*F-RLx7zgnGOHNH&gOLP!7Qrh?Bp}+LnF@uZhy>bDw^~ragbV>863@^iDTv2iC1M8gqOIqPtYo_va3J(|c((6!2TsSY*9aHv*an6J!lr4L$D zPRB|#+|Bx35C)V;82I~tln(hhg{Y1rULt@W4BLih1(RXgBX^r(ydpf!xH)hGvqX20 zK!)+8IN&+`UCieg-wNj$TmD-syrJ{LZ}q&`Z;iJ7`G!K7iG*0NNq7AP3O3yn-lZo4 z?A)fX$8lA-O&k+hcrY*uIZ5Q4LvHXuOlM-kB$i&tez?SkWSxwo#zH%>hks%Xs7&g z>$Ji%df%T9B11QYU(i`$luDK2%iOEN$yvOr#^>BWz}$vy*DA#wyS#nSnsJts@1N@5 z8VkF{!EO5%@T4E>K{;QOk7ql~M!0O4nHfI1bo#IdeEe%+d>RK9ouTQtd7A*1nDLtT FId|&nP+$N6 delta 919 zcmYk)KS&!<90&04J7bMl;lzL$A{-=&tyJTmK?K22ix#7nqR_yB#29HoNiZikh!-*i zaWGs5I|#bjQJlKjG0>sibhBgWl%*6L^m}LS{QcnG`+a`zy}WntQeL=99~s>Peehx& z1~d$y4bLvdGLf$CP907sP~fPSU!Fw%cGQky&Xtj{)#DKeJb3_`Ti%h!T(AJ3!&gjG<4Bn;U4`>g$!y-7Dmw`c;SAZd(fq`K%kQUxNm*q7#0Bx2A@Bjb+ delta 30 icmeBB>`>g$!y+imE5I 0000048c : - 48c: 00100d13 li s10,1 - 490: 00000d93 li s11,0 + 48c: 00000d93 li s11,0 + 490: 00100d13 li s10,1 00000494 : 494: 0000006f j 494 00000498 : - 498: 00100d13 li s10,1 - 49c: 00100d93 li s11,1 + 498: 00100d93 li s11,1 + 49c: 00100d13 li s10,1 000004a0 : 4a0: 0000006f j 4a0 @@ -396,3 +396,18 @@ Disassembly of section .tohost: 00001080 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-sw.mem b/tests/isa/generated/rv32ui-p-sw.mem new file mode 100644 index 0000000..e1b78c1 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-sw.mem @@ -0,0 +1,1058 @@ +00000d13 +00000d93 +00001097 +ff808093 +00aa0137 +0aa10113 +0020a023 +0000af03 +00aa0eb7 +0aae8e93 +00200193 +47df1063 +00001097 +fd008093 +aa00b137 +a0010113 +0020a223 +0040af03 +aa00beb7 +a00e8e93 +00300193 +43df1c63 +00001097 +fa808093 +0aa01137 +aa010113 +0020a423 +0080af03 +0aa01eb7 +aa0e8e93 +00400193 +41df1863 +00001097 +f8008093 +a00aa137 +00a10113 +0020a623 +00c0af03 +a00aaeb7 +00ae8e93 +00500193 +3fdf1463 +00001097 +f7408093 +00aa0137 +0aa10113 +fe20aa23 +ff40af03 +00aa0eb7 +0aae8e93 +00600193 +3ddf1063 +00001097 +f4c08093 +aa00b137 +a0010113 +fe20ac23 +ff80af03 +aa00beb7 +a00e8e93 +00700193 +39df1c63 +00001097 +f2408093 +0aa01137 +aa010113 +fe20ae23 +ffc0af03 +0aa01eb7 +aa0e8e93 +00800193 +37df1863 +00001097 +efc08093 +a00aa137 +00a10113 +0020a023 +0000af03 +a00aaeb7 +00ae8e93 +00900193 +35df1463 +00001097 +ed808093 +12345137 +67810113 +fe008213 +02222023 +0000a283 +12345eb7 +678e8e93 +00a00193 +31d29e63 +00001097 +eac08093 +58213137 +09810113 +ffd08093 +0020a3a3 +00001217 +e9820213 +00022283 +58213eb7 +098e8e93 +00b00193 +2fd29463 +00c00193 +00000213 +aabbd0b7 +cdd08093 +00001117 +e4810113 +00112023 +00012f03 +aabbdeb7 +cdde8e93 +2bdf1e63 +00120213 +00200293 +fc521ae3 +00d00193 +00000213 +daabc0b7 +ccd08093 +00001117 +e1010113 +00000013 +00112223 +00412f03 +daabceb7 +ccde8e93 +29df1063 +00120213 +00200293 +fc5218e3 +00e00193 +00000213 +ddaac0b7 +bcc08093 +00001117 +dd410113 +00000013 +00000013 +00112423 +00812f03 +ddaaceb7 +bcce8e93 +25df1063 +00120213 +00200293 +fc5216e3 +00f00193 +00000213 +cddab0b7 +bbc08093 +00000013 +00001117 +d9010113 +00112623 +00c12f03 +cddabeb7 +bbce8e93 +21df1263 +00120213 +00200293 +fc5218e3 +01000193 +00000213 +ccddb0b7 +abb08093 +00000013 +00001117 +d5410113 +00000013 +00112823 +01012f03 +ccddbeb7 +abbe8e93 +1ddf1263 +00120213 +00200293 +fc5216e3 +01100193 +00000213 +bccde0b7 +aab08093 +00000013 +00000013 +00001117 +d1010113 +00112a23 +01412f03 +bccdeeb7 +aabe8e93 +19df1263 +00120213 +00200293 +fc5216e3 +01200193 +00000213 +00001117 +ce010113 +001120b7 +23308093 +00112023 +00012f03 +00112eb7 +233e8e93 +15df1663 +00120213 +00200293 +fc521ae3 +01300193 +00000213 +00001117 +ca810113 +300110b7 +22308093 +00000013 +00112223 +00412f03 +30011eb7 +223e8e93 +11df1863 +00120213 +00200293 +fc5218e3 +01400193 +00000213 +00001117 +c6c10113 +330010b7 +12208093 +00000013 +00000013 +00112423 +00812f03 +33001eb7 +122e8e93 +0ddf1863 +00120213 +00200293 +fc5216e3 +01500193 +00000213 +00001117 +c2c10113 +00000013 +233000b7 +11208093 +00112623 +00c12f03 +23300eb7 +112e8e93 +09df1a63 +00120213 +00200293 +fc5218e3 +01600193 +00000213 +00001117 +bf010113 +00000013 +223300b7 +01108093 +00000013 +00112823 +01012f03 +22330eb7 +011e8e93 +05df1a63 +00120213 +00200293 +fc5216e3 +01700193 +00000213 +00001117 +bb010113 +00000013 +00000013 +122330b7 +00108093 +00112a23 +01412f03 +12233eb7 +001e8e93 +01df1a63 +00120213 +00200293 +fc5216e3 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-sw.verilog b/tests/isa/generated/rv32ui-p-sw.verilog old mode 100644 new mode 100755 index 1915c9b..b6e5c65 --- a/tests/isa/generated/rv32ui-p-sw.verilog +++ b/tests/isa/generated/rv32ui-p-sw.verilog @@ -1,88 +1,88 @@ -@00000000 -13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF -37 01 AA 00 13 01 A1 0A 23 A0 20 00 03 AF 00 00 -B7 0E AA 00 93 8E AE 0A 93 01 20 00 63 10 DF 47 -97 10 00 00 93 80 00 FD 37 B1 00 AA 13 01 01 A0 -23 A2 20 00 03 AF 40 00 B7 BE 00 AA 93 8E 0E A0 -93 01 30 00 63 1C DF 43 97 10 00 00 93 80 80 FA -37 11 A0 0A 13 01 01 AA 23 A4 20 00 03 AF 80 00 -B7 1E A0 0A 93 8E 0E AA 93 01 40 00 63 18 DF 41 -97 10 00 00 93 80 00 F8 37 A1 0A A0 13 01 A1 00 -23 A6 20 00 03 AF C0 00 B7 AE 0A A0 93 8E AE 00 -93 01 50 00 63 14 DF 3F 97 10 00 00 93 80 40 F7 -37 01 AA 00 13 01 A1 0A 23 AA 20 FE 03 AF 40 FF -B7 0E AA 00 93 8E AE 0A 93 01 60 00 63 10 DF 3D -97 10 00 00 93 80 C0 F4 37 B1 00 AA 13 01 01 A0 -23 AC 20 FE 03 AF 80 FF B7 BE 00 AA 93 8E 0E A0 -93 01 70 00 63 1C DF 39 97 10 00 00 93 80 40 F2 -37 11 A0 0A 13 01 01 AA 23 AE 20 FE 03 AF C0 FF -B7 1E A0 0A 93 8E 0E AA 93 01 80 00 63 18 DF 37 -97 10 00 00 93 80 C0 EF 37 A1 0A A0 13 01 A1 00 -23 A0 20 00 03 AF 00 00 B7 AE 0A A0 93 8E AE 00 -93 01 90 00 63 14 DF 35 97 10 00 00 93 80 80 ED -37 51 34 12 13 01 81 67 13 82 00 FE 23 20 22 02 -83 A2 00 00 B7 5E 34 12 93 8E 8E 67 93 01 A0 00 -63 9E D2 31 97 10 00 00 93 80 C0 EA 37 31 21 58 -13 01 81 09 93 80 D0 FF A3 A3 20 00 17 12 00 00 -13 02 82 E9 83 22 02 00 B7 3E 21 58 93 8E 8E 09 -93 01 B0 00 63 94 D2 2F 93 01 C0 00 13 02 00 00 -B7 D0 BB AA 93 80 D0 CD 17 11 00 00 13 01 81 E4 -23 20 11 00 03 2F 01 00 B7 DE BB AA 93 8E DE CD -63 1E DF 2B 13 02 12 00 93 02 20 00 E3 1A 52 FC -93 01 D0 00 13 02 00 00 B7 C0 AB DA 93 80 D0 CC -17 11 00 00 13 01 01 E1 13 00 00 00 23 22 11 00 -03 2F 41 00 B7 CE AB DA 93 8E DE CC 63 10 DF 29 -13 02 12 00 93 02 20 00 E3 18 52 FC 93 01 E0 00 -13 02 00 00 B7 C0 AA DD 93 80 C0 BC 17 11 00 00 -13 01 41 DD 13 00 00 00 13 00 00 00 23 24 11 00 -03 2F 81 00 B7 CE AA DD 93 8E CE BC 63 10 DF 25 -13 02 12 00 93 02 20 00 E3 16 52 FC 93 01 F0 00 -13 02 00 00 B7 B0 DA CD 93 80 C0 BB 13 00 00 00 -17 11 00 00 13 01 01 D9 23 26 11 00 03 2F C1 00 -B7 BE DA CD 93 8E CE BB 63 12 DF 21 13 02 12 00 -93 02 20 00 E3 18 52 FC 93 01 00 01 13 02 00 00 -B7 B0 DD CC 93 80 B0 AB 13 00 00 00 17 11 00 00 -13 01 41 D5 13 00 00 00 23 28 11 00 03 2F 01 01 -B7 BE DD CC 93 8E BE AB 63 12 DF 1D 13 02 12 00 -93 02 20 00 E3 16 52 FC 93 01 10 01 13 02 00 00 -B7 E0 CD BC 93 80 B0 AA 13 00 00 00 13 00 00 00 -17 11 00 00 13 01 01 D1 23 2A 11 00 03 2F 41 01 -B7 EE CD BC 93 8E BE AA 63 12 DF 19 13 02 12 00 -93 02 20 00 E3 16 52 FC 93 01 20 01 13 02 00 00 -17 11 00 00 13 01 01 CE B7 20 11 00 93 80 30 23 -23 20 11 00 03 2F 01 00 B7 2E 11 00 93 8E 3E 23 -63 16 DF 15 13 02 12 00 93 02 20 00 E3 1A 52 FC -93 01 30 01 13 02 00 00 17 11 00 00 13 01 81 CA -B7 10 01 30 93 80 30 22 13 00 00 00 23 22 11 00 -03 2F 41 00 B7 1E 01 30 93 8E 3E 22 63 18 DF 11 -13 02 12 00 93 02 20 00 E3 18 52 FC 93 01 40 01 -13 02 00 00 17 11 00 00 13 01 C1 C6 B7 10 00 33 -93 80 20 12 13 00 00 00 13 00 00 00 23 24 11 00 -03 2F 81 00 B7 1E 00 33 93 8E 2E 12 63 18 DF 0D -13 02 12 00 93 02 20 00 E3 16 52 FC 93 01 50 01 -13 02 00 00 17 11 00 00 13 01 C1 C2 13 00 00 00 -B7 00 30 23 93 80 20 11 23 26 11 00 03 2F C1 00 -B7 0E 30 23 93 8E 2E 11 63 1A DF 09 13 02 12 00 -93 02 20 00 E3 18 52 FC 93 01 60 01 13 02 00 00 -17 11 00 00 13 01 01 BF 13 00 00 00 B7 00 33 22 -93 80 10 01 13 00 00 00 23 28 11 00 03 2F 01 01 -B7 0E 33 22 93 8E 1E 01 63 1A DF 05 13 02 12 00 -93 02 20 00 E3 16 52 FC 93 01 70 01 13 02 00 00 -17 11 00 00 13 01 01 BB 13 00 00 00 13 00 00 00 -B7 30 23 12 93 80 10 00 23 2A 11 00 03 2F 41 01 -B7 3E 23 12 93 8E 1E 00 63 1A DF 01 13 02 12 00 -93 02 20 00 E3 16 52 FC 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00001000 -EF BE AD DE EF BE AD DE EF BE AD DE EF BE AD DE -EF BE AD DE EF BE AD DE EF BE AD DE EF BE AD DE -EF BE AD DE EF BE AD DE 00 00 00 00 00 00 00 00 -@00001040 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF +37 01 AA 00 13 01 A1 0A 23 A0 20 00 03 AF 00 00 +B7 0E AA 00 93 8E AE 0A 93 01 20 00 63 10 DF 47 +97 10 00 00 93 80 00 FD 37 B1 00 AA 13 01 01 A0 +23 A2 20 00 03 AF 40 00 B7 BE 00 AA 93 8E 0E A0 +93 01 30 00 63 1C DF 43 97 10 00 00 93 80 80 FA +37 11 A0 0A 13 01 01 AA 23 A4 20 00 03 AF 80 00 +B7 1E A0 0A 93 8E 0E AA 93 01 40 00 63 18 DF 41 +97 10 00 00 93 80 00 F8 37 A1 0A A0 13 01 A1 00 +23 A6 20 00 03 AF C0 00 B7 AE 0A A0 93 8E AE 00 +93 01 50 00 63 14 DF 3F 97 10 00 00 93 80 40 F7 +37 01 AA 00 13 01 A1 0A 23 AA 20 FE 03 AF 40 FF +B7 0E AA 00 93 8E AE 0A 93 01 60 00 63 10 DF 3D +97 10 00 00 93 80 C0 F4 37 B1 00 AA 13 01 01 A0 +23 AC 20 FE 03 AF 80 FF B7 BE 00 AA 93 8E 0E A0 +93 01 70 00 63 1C DF 39 97 10 00 00 93 80 40 F2 +37 11 A0 0A 13 01 01 AA 23 AE 20 FE 03 AF C0 FF +B7 1E A0 0A 93 8E 0E AA 93 01 80 00 63 18 DF 37 +97 10 00 00 93 80 C0 EF 37 A1 0A A0 13 01 A1 00 +23 A0 20 00 03 AF 00 00 B7 AE 0A A0 93 8E AE 00 +93 01 90 00 63 14 DF 35 97 10 00 00 93 80 80 ED +37 51 34 12 13 01 81 67 13 82 00 FE 23 20 22 02 +83 A2 00 00 B7 5E 34 12 93 8E 8E 67 93 01 A0 00 +63 9E D2 31 97 10 00 00 93 80 C0 EA 37 31 21 58 +13 01 81 09 93 80 D0 FF A3 A3 20 00 17 12 00 00 +13 02 82 E9 83 22 02 00 B7 3E 21 58 93 8E 8E 09 +93 01 B0 00 63 94 D2 2F 93 01 C0 00 13 02 00 00 +B7 D0 BB AA 93 80 D0 CD 17 11 00 00 13 01 81 E4 +23 20 11 00 03 2F 01 00 B7 DE BB AA 93 8E DE CD +63 1E DF 2B 13 02 12 00 93 02 20 00 E3 1A 52 FC +93 01 D0 00 13 02 00 00 B7 C0 AB DA 93 80 D0 CC +17 11 00 00 13 01 01 E1 13 00 00 00 23 22 11 00 +03 2F 41 00 B7 CE AB DA 93 8E DE CC 63 10 DF 29 +13 02 12 00 93 02 20 00 E3 18 52 FC 93 01 E0 00 +13 02 00 00 B7 C0 AA DD 93 80 C0 BC 17 11 00 00 +13 01 41 DD 13 00 00 00 13 00 00 00 23 24 11 00 +03 2F 81 00 B7 CE AA DD 93 8E CE BC 63 10 DF 25 +13 02 12 00 93 02 20 00 E3 16 52 FC 93 01 F0 00 +13 02 00 00 B7 B0 DA CD 93 80 C0 BB 13 00 00 00 +17 11 00 00 13 01 01 D9 23 26 11 00 03 2F C1 00 +B7 BE DA CD 93 8E CE BB 63 12 DF 21 13 02 12 00 +93 02 20 00 E3 18 52 FC 93 01 00 01 13 02 00 00 +B7 B0 DD CC 93 80 B0 AB 13 00 00 00 17 11 00 00 +13 01 41 D5 13 00 00 00 23 28 11 00 03 2F 01 01 +B7 BE DD CC 93 8E BE AB 63 12 DF 1D 13 02 12 00 +93 02 20 00 E3 16 52 FC 93 01 10 01 13 02 00 00 +B7 E0 CD BC 93 80 B0 AA 13 00 00 00 13 00 00 00 +17 11 00 00 13 01 01 D1 23 2A 11 00 03 2F 41 01 +B7 EE CD BC 93 8E BE AA 63 12 DF 19 13 02 12 00 +93 02 20 00 E3 16 52 FC 93 01 20 01 13 02 00 00 +17 11 00 00 13 01 01 CE B7 20 11 00 93 80 30 23 +23 20 11 00 03 2F 01 00 B7 2E 11 00 93 8E 3E 23 +63 16 DF 15 13 02 12 00 93 02 20 00 E3 1A 52 FC +93 01 30 01 13 02 00 00 17 11 00 00 13 01 81 CA +B7 10 01 30 93 80 30 22 13 00 00 00 23 22 11 00 +03 2F 41 00 B7 1E 01 30 93 8E 3E 22 63 18 DF 11 +13 02 12 00 93 02 20 00 E3 18 52 FC 93 01 40 01 +13 02 00 00 17 11 00 00 13 01 C1 C6 B7 10 00 33 +93 80 20 12 13 00 00 00 13 00 00 00 23 24 11 00 +03 2F 81 00 B7 1E 00 33 93 8E 2E 12 63 18 DF 0D +13 02 12 00 93 02 20 00 E3 16 52 FC 93 01 50 01 +13 02 00 00 17 11 00 00 13 01 C1 C2 13 00 00 00 +B7 00 30 23 93 80 20 11 23 26 11 00 03 2F C1 00 +B7 0E 30 23 93 8E 2E 11 63 1A DF 09 13 02 12 00 +93 02 20 00 E3 18 52 FC 93 01 60 01 13 02 00 00 +17 11 00 00 13 01 01 BF 13 00 00 00 B7 00 33 22 +93 80 10 01 13 00 00 00 23 28 11 00 03 2F 01 01 +B7 0E 33 22 93 8E 1E 01 63 1A DF 05 13 02 12 00 +93 02 20 00 E3 16 52 FC 93 01 70 01 13 02 00 00 +17 11 00 00 13 01 01 BB 13 00 00 00 13 00 00 00 +B7 30 23 12 93 80 10 00 23 2A 11 00 03 2F 41 01 +B7 3E 23 12 93 8E 1E 00 63 1A DF 01 13 02 12 00 +93 02 20 00 E3 16 52 FC 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00001000 +EF BE AD DE EF BE AD DE EF BE AD DE EF BE AD DE +EF BE AD DE EF BE AD DE EF BE AD DE EF BE AD DE +EF BE AD DE EF BE AD DE 00 00 00 00 00 00 00 00 +@00001040 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-xor b/tests/isa/generated/rv32ui-p-xor old mode 100644 new mode 100755 index 917a111ce15c2f2024c06dff5d299dd0f5f36d26..ece807926988d5bbf31ccd90e2460d2767be946c GIT binary patch delta 871 zcmYk*KS%;m90%~AOSIMZJ-zey;NJUwe(&y{_wM|T-o(m2=Y^M4i;|B71*nf~ zw~=#~TelNA?GDOdR>!DvC2{Rtkr(7r`DB5_5Mpnx5DBNk*-#}hq1W85qPktDvycUM z?KUg5{szH*vn1#h`N?V&1UV6B4C3lB%xlOY z^H&PmfYZ!daGg00H<|ZfPY*iPih|MzTV_G1Ut3~6#{3iWDQvZ~H#-D8Y1%iTF*euS&c&v1?T18y)k;1}k1_^o5Se?ea;kVAHI z=%Jr>Fl{rpPtFUn!)Lu}TAe=vpD>TZ%gmHa<|C`=`Ga7FwEeuc6K)R?((cwhF)N)Yz@^i-jH0<~Ee)z6Z8K;{UO|mP zOVE%s1r0V;TR}_EV9?T*TP@Z9Y43Rs+ZcU62B(>~;0xwmxX!!>I}MncC8&ZmsvGw| zL1SNU2s(xDna^R95mU1SRj~$`ui+f?EquZJ0KYRo!5-~Ji+I8pG-Ry-zrmZ#AMhb_ z13qK6;I_}q-`Ia-He&yk*$R_{fhtO5pf1)>?N$9>5)L#3m(8t)x<3k+n1gVTnI^~N zSU$C$mm@Qw`S9$HPY&gBQ}IGvj%1VZv>Z)ka&j`cx*anv&1SB~oncW)c$J!KQ3<%E p{;~t#kAFZtb2o;%s^{&*&>s@n;v@{Vf<<&PF9U-xuK+_n0|UckAPr_ue#o+X@&^_c0HCP|@Bjb+ delta 37 ocmeC+?%>{Vf<;uASAbzMF9Sn90|NtuEx?dJ`60{l$sbr)0G#Ov@Bjb+ diff --git a/tests/isa/generated/rv32ui-p-xor.dump b/tests/isa/generated/rv32ui-p-xor.dump index b7ee509..030bdfa 100644 --- a/tests/isa/generated/rv32ui-p-xor.dump +++ b/tests/isa/generated/rv32ui-p-xor.dump @@ -365,15 +365,15 @@ Disassembly of section .text.init: 4c4: 00301863 bne zero,gp,4d4 000004c8 : - 4c8: 00100d13 li s10,1 - 4cc: 00000d93 li s11,0 + 4c8: 00000d93 li s11,0 + 4cc: 00100d13 li s10,1 000004d0 : 4d0: 0000006f j 4d0 000004d4 : - 4d4: 00100d13 li s10,1 - 4d8: 00100d93 li s11,1 + 4d4: 00100d93 li s11,1 + 4d8: 00100d13 li s10,1 000004dc : 4dc: 0000006f j 4dc @@ -386,3 +386,18 @@ Disassembly of section .tohost: 00000580 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-xor.mem b/tests/isa/generated/rv32ui-p-xor.mem new file mode 100644 index 0000000..0f87a04 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-xor.mem @@ -0,0 +1,354 @@ +00000d13 +00000d93 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020cf33 +f00ffeb7 +00fe8e93 +00200193 +4bdf1063 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020cf33 +ff010eb7 +f00e8e93 +00300193 +47df1e63 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +0020cf33 +0ff01eb7 +ff0e8e93 +00400193 +45df1c63 +f00ff0b7 +00f08093 +f0f0f137 +0f010113 +0020cf33 +00ff0eb7 +0ffe8e93 +00500193 +43df1a63 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020c0b3 +f00ffeb7 +00fe8e93 +00600193 +41d09863 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020c133 +f00ffeb7 +00fe8e93 +00700193 +3fd11663 +ff0100b7 +f0008093 +0010c0b3 +00000e93 +00800193 +3dd09a63 +00000213 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020cf33 +000f0313 +00120213 +00200293 +fe5210e3 +f00ffeb7 +00fe8e93 +00900193 +39d31e63 +00000213 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020cf33 +00000013 +000f0313 +00120213 +00200293 +fc521ee3 +ff010eb7 +f00e8e93 +00a00193 +37d31063 +00000213 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +0020cf33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fc521ce3 +0ff01eb7 +ff0e8e93 +00b00193 +33d31063 +00000213 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020cf33 +00120213 +00200293 +fe5212e3 +f00ffeb7 +00fe8e93 +00c00193 +2fdf1663 +00000213 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +00000013 +0020cf33 +00120213 +00200293 +fe5210e3 +ff010eb7 +f00e8e93 +00d00193 +2bdf1a63 +00000213 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +00000013 +00000013 +0020cf33 +00120213 +00200293 +fc521ee3 +0ff01eb7 +ff0e8e93 +00e00193 +27df1c63 +00000213 +ff0100b7 +f0008093 +00000013 +0f0f1137 +f0f10113 +0020cf33 +00120213 +00200293 +fe5210e3 +f00ffeb7 +00fe8e93 +00f00193 +25df1063 +00000213 +0ff010b7 +ff008093 +00000013 +f0f0f137 +0f010113 +00000013 +0020cf33 +00120213 +00200293 +fc521ee3 +ff010eb7 +f00e8e93 +01000193 +21df1263 +00000213 +00ff00b7 +0ff08093 +00000013 +00000013 +0f0f1137 +f0f10113 +0020cf33 +00120213 +00200293 +fc521ee3 +0ff01eb7 +ff0e8e93 +01100193 +1ddf1463 +00000213 +0f0f1137 +f0f10113 +ff0100b7 +f0008093 +0020cf33 +00120213 +00200293 +fe5212e3 +f00ffeb7 +00fe8e93 +01200193 +19df1a63 +00000213 +f0f0f137 +0f010113 +0ff010b7 +ff008093 +00000013 +0020cf33 +00120213 +00200293 +fe5210e3 +ff010eb7 +f00e8e93 +01300193 +15df1e63 +00000213 +0f0f1137 +f0f10113 +00ff00b7 +0ff08093 +00000013 +00000013 +0020cf33 +00120213 +00200293 +fc521ee3 +0ff01eb7 +ff0e8e93 +01400193 +13df1063 +00000213 +0f0f1137 +f0f10113 +00000013 +ff0100b7 +f0008093 +0020cf33 +00120213 +00200293 +fe5210e3 +f00ffeb7 +00fe8e93 +01500193 +0fdf1463 +00000213 +f0f0f137 +0f010113 +00000013 +0ff010b7 +ff008093 +00000013 +0020cf33 +00120213 +00200293 +fc521ee3 +ff010eb7 +f00e8e93 +01600193 +0bdf1663 +00000213 +0f0f1137 +f0f10113 +00000013 +00000013 +00ff00b7 +0ff08093 +0020cf33 +00120213 +00200293 +fc521ee3 +0ff01eb7 +ff0e8e93 +01700193 +07df1863 +ff0100b7 +f0008093 +00104133 +ff010eb7 +f00e8e93 +01800193 +05d11a63 +00ff00b7 +0ff08093 +0000c133 +00ff0eb7 +0ffe8e93 +01900193 +03d11c63 +000040b3 +00000e93 +01a00193 +03d09463 +111110b7 +11108093 +22222137 +22210113 +0020c033 +00000e93 +01b00193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-xor.verilog b/tests/isa/generated/rv32ui-p-xor.verilog old mode 100644 new mode 100755 index 747b893..b0cbd9e --- a/tests/isa/generated/rv32ui-p-xor.verilog +++ b/tests/isa/generated/rv32ui-p-xor.verilog @@ -1,88 +1,88 @@ -@00000000 -13 0D 00 00 93 0D 00 00 B7 00 01 FF 93 80 00 F0 -37 11 0F 0F 13 01 F1 F0 33 CF 20 00 B7 FE 0F F0 -93 8E FE 00 93 01 20 00 63 10 DF 4B B7 10 F0 0F -93 80 00 FF 37 F1 F0 F0 13 01 01 0F 33 CF 20 00 -B7 0E 01 FF 93 8E 0E F0 93 01 30 00 63 1E DF 47 -B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 -33 CF 20 00 B7 1E F0 0F 93 8E 0E FF 93 01 40 00 -63 1C DF 45 B7 F0 0F F0 93 80 F0 00 37 F1 F0 F0 -13 01 01 0F 33 CF 20 00 B7 0E FF 00 93 8E FE 0F -93 01 50 00 63 1A DF 43 B7 00 01 FF 93 80 00 F0 -37 11 0F 0F 13 01 F1 F0 B3 C0 20 00 B7 FE 0F F0 -93 8E FE 00 93 01 60 00 63 98 D0 41 B7 00 01 FF -93 80 00 F0 37 11 0F 0F 13 01 F1 F0 33 C1 20 00 -B7 FE 0F F0 93 8E FE 00 93 01 70 00 63 16 D1 3F -B7 00 01 FF 93 80 00 F0 B3 C0 10 00 93 0E 00 00 -93 01 80 00 63 9A D0 3D 13 02 00 00 B7 00 01 FF -93 80 00 F0 37 11 0F 0F 13 01 F1 F0 33 CF 20 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE -B7 FE 0F F0 93 8E FE 00 93 01 90 00 63 1E D3 39 -13 02 00 00 B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 -13 01 01 0F 33 CF 20 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E 01 FF -93 8E 0E F0 93 01 A0 00 63 10 D3 37 13 02 00 00 -B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 -33 CF 20 00 13 00 00 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 1C 52 FC B7 1E F0 0F -93 8E 0E FF 93 01 B0 00 63 10 D3 33 13 02 00 00 -B7 00 01 FF 93 80 00 F0 37 11 0F 0F 13 01 F1 F0 -33 CF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 FE 0F F0 93 8E FE 00 93 01 C0 00 63 16 DF 2F -13 02 00 00 B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 -13 01 01 0F 13 00 00 00 33 CF 20 00 13 02 12 00 -93 02 20 00 E3 10 52 FE B7 0E 01 FF 93 8E 0E F0 -93 01 D0 00 63 1A DF 2B 13 02 00 00 B7 00 FF 00 -93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 13 00 00 00 -13 00 00 00 33 CF 20 00 13 02 12 00 93 02 20 00 -E3 1E 52 FC B7 1E F0 0F 93 8E 0E FF 93 01 E0 00 -63 1C DF 27 13 02 00 00 B7 00 01 FF 93 80 00 F0 -13 00 00 00 37 11 0F 0F 13 01 F1 F0 33 CF 20 00 -13 02 12 00 93 02 20 00 E3 10 52 FE B7 FE 0F F0 -93 8E FE 00 93 01 F0 00 63 10 DF 25 13 02 00 00 -B7 10 F0 0F 93 80 00 FF 13 00 00 00 37 F1 F0 F0 -13 01 01 0F 13 00 00 00 33 CF 20 00 13 02 12 00 -93 02 20 00 E3 1E 52 FC B7 0E 01 FF 93 8E 0E F0 -93 01 00 01 63 12 DF 21 13 02 00 00 B7 00 FF 00 -93 80 F0 0F 13 00 00 00 13 00 00 00 37 11 0F 0F -13 01 F1 F0 33 CF 20 00 13 02 12 00 93 02 20 00 -E3 1E 52 FC B7 1E F0 0F 93 8E 0E FF 93 01 10 01 -63 14 DF 1D 13 02 00 00 37 11 0F 0F 13 01 F1 F0 -B7 00 01 FF 93 80 00 F0 33 CF 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 FE 0F F0 93 8E FE 00 -93 01 20 01 63 1A DF 19 13 02 00 00 37 F1 F0 F0 -13 01 01 0F B7 10 F0 0F 93 80 00 FF 13 00 00 00 -33 CF 20 00 13 02 12 00 93 02 20 00 E3 10 52 FE -B7 0E 01 FF 93 8E 0E F0 93 01 30 01 63 1E DF 15 -13 02 00 00 37 11 0F 0F 13 01 F1 F0 B7 00 FF 00 -93 80 F0 0F 13 00 00 00 13 00 00 00 33 CF 20 00 -13 02 12 00 93 02 20 00 E3 1E 52 FC B7 1E F0 0F -93 8E 0E FF 93 01 40 01 63 10 DF 13 13 02 00 00 -37 11 0F 0F 13 01 F1 F0 13 00 00 00 B7 00 01 FF -93 80 00 F0 33 CF 20 00 13 02 12 00 93 02 20 00 -E3 10 52 FE B7 FE 0F F0 93 8E FE 00 93 01 50 01 -63 14 DF 0F 13 02 00 00 37 F1 F0 F0 13 01 01 0F -13 00 00 00 B7 10 F0 0F 93 80 00 FF 13 00 00 00 -33 CF 20 00 13 02 12 00 93 02 20 00 E3 1E 52 FC -B7 0E 01 FF 93 8E 0E F0 93 01 60 01 63 16 DF 0B -13 02 00 00 37 11 0F 0F 13 01 F1 F0 13 00 00 00 -13 00 00 00 B7 00 FF 00 93 80 F0 0F 33 CF 20 00 -13 02 12 00 93 02 20 00 E3 1E 52 FC B7 1E F0 0F -93 8E 0E FF 93 01 70 01 63 18 DF 07 B7 00 01 FF -93 80 00 F0 33 41 10 00 B7 0E 01 FF 93 8E 0E F0 -93 01 80 01 63 1A D1 05 B7 00 FF 00 93 80 F0 0F -33 C1 00 00 B7 0E FF 00 93 8E FE 0F 93 01 90 01 -63 1C D1 03 B3 40 00 00 93 0E 00 00 93 01 A0 01 -63 94 D0 03 B7 10 11 11 93 80 10 11 37 21 22 22 -13 01 21 22 33 C0 20 00 93 0E 00 00 93 01 B0 01 -63 14 D0 01 63 18 30 00 13 0D 10 00 93 0D 00 00 -6F 00 00 00 13 0D 10 00 93 0D 10 00 6F 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000540 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 B7 00 01 FF 93 80 00 F0 +37 11 0F 0F 13 01 F1 F0 33 CF 20 00 B7 FE 0F F0 +93 8E FE 00 93 01 20 00 63 10 DF 4B B7 10 F0 0F +93 80 00 FF 37 F1 F0 F0 13 01 01 0F 33 CF 20 00 +B7 0E 01 FF 93 8E 0E F0 93 01 30 00 63 1E DF 47 +B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 +33 CF 20 00 B7 1E F0 0F 93 8E 0E FF 93 01 40 00 +63 1C DF 45 B7 F0 0F F0 93 80 F0 00 37 F1 F0 F0 +13 01 01 0F 33 CF 20 00 B7 0E FF 00 93 8E FE 0F +93 01 50 00 63 1A DF 43 B7 00 01 FF 93 80 00 F0 +37 11 0F 0F 13 01 F1 F0 B3 C0 20 00 B7 FE 0F F0 +93 8E FE 00 93 01 60 00 63 98 D0 41 B7 00 01 FF +93 80 00 F0 37 11 0F 0F 13 01 F1 F0 33 C1 20 00 +B7 FE 0F F0 93 8E FE 00 93 01 70 00 63 16 D1 3F +B7 00 01 FF 93 80 00 F0 B3 C0 10 00 93 0E 00 00 +93 01 80 00 63 9A D0 3D 13 02 00 00 B7 00 01 FF +93 80 00 F0 37 11 0F 0F 13 01 F1 F0 33 CF 20 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE +B7 FE 0F F0 93 8E FE 00 93 01 90 00 63 1E D3 39 +13 02 00 00 B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 +13 01 01 0F 33 CF 20 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E 01 FF +93 8E 0E F0 93 01 A0 00 63 10 D3 37 13 02 00 00 +B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 +33 CF 20 00 13 00 00 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 1C 52 FC B7 1E F0 0F +93 8E 0E FF 93 01 B0 00 63 10 D3 33 13 02 00 00 +B7 00 01 FF 93 80 00 F0 37 11 0F 0F 13 01 F1 F0 +33 CF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 FE 0F F0 93 8E FE 00 93 01 C0 00 63 16 DF 2F +13 02 00 00 B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 +13 01 01 0F 13 00 00 00 33 CF 20 00 13 02 12 00 +93 02 20 00 E3 10 52 FE B7 0E 01 FF 93 8E 0E F0 +93 01 D0 00 63 1A DF 2B 13 02 00 00 B7 00 FF 00 +93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 13 00 00 00 +13 00 00 00 33 CF 20 00 13 02 12 00 93 02 20 00 +E3 1E 52 FC B7 1E F0 0F 93 8E 0E FF 93 01 E0 00 +63 1C DF 27 13 02 00 00 B7 00 01 FF 93 80 00 F0 +13 00 00 00 37 11 0F 0F 13 01 F1 F0 33 CF 20 00 +13 02 12 00 93 02 20 00 E3 10 52 FE B7 FE 0F F0 +93 8E FE 00 93 01 F0 00 63 10 DF 25 13 02 00 00 +B7 10 F0 0F 93 80 00 FF 13 00 00 00 37 F1 F0 F0 +13 01 01 0F 13 00 00 00 33 CF 20 00 13 02 12 00 +93 02 20 00 E3 1E 52 FC B7 0E 01 FF 93 8E 0E F0 +93 01 00 01 63 12 DF 21 13 02 00 00 B7 00 FF 00 +93 80 F0 0F 13 00 00 00 13 00 00 00 37 11 0F 0F +13 01 F1 F0 33 CF 20 00 13 02 12 00 93 02 20 00 +E3 1E 52 FC B7 1E F0 0F 93 8E 0E FF 93 01 10 01 +63 14 DF 1D 13 02 00 00 37 11 0F 0F 13 01 F1 F0 +B7 00 01 FF 93 80 00 F0 33 CF 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 FE 0F F0 93 8E FE 00 +93 01 20 01 63 1A DF 19 13 02 00 00 37 F1 F0 F0 +13 01 01 0F B7 10 F0 0F 93 80 00 FF 13 00 00 00 +33 CF 20 00 13 02 12 00 93 02 20 00 E3 10 52 FE +B7 0E 01 FF 93 8E 0E F0 93 01 30 01 63 1E DF 15 +13 02 00 00 37 11 0F 0F 13 01 F1 F0 B7 00 FF 00 +93 80 F0 0F 13 00 00 00 13 00 00 00 33 CF 20 00 +13 02 12 00 93 02 20 00 E3 1E 52 FC B7 1E F0 0F +93 8E 0E FF 93 01 40 01 63 10 DF 13 13 02 00 00 +37 11 0F 0F 13 01 F1 F0 13 00 00 00 B7 00 01 FF +93 80 00 F0 33 CF 20 00 13 02 12 00 93 02 20 00 +E3 10 52 FE B7 FE 0F F0 93 8E FE 00 93 01 50 01 +63 14 DF 0F 13 02 00 00 37 F1 F0 F0 13 01 01 0F +13 00 00 00 B7 10 F0 0F 93 80 00 FF 13 00 00 00 +33 CF 20 00 13 02 12 00 93 02 20 00 E3 1E 52 FC +B7 0E 01 FF 93 8E 0E F0 93 01 60 01 63 16 DF 0B +13 02 00 00 37 11 0F 0F 13 01 F1 F0 13 00 00 00 +13 00 00 00 B7 00 FF 00 93 80 F0 0F 33 CF 20 00 +13 02 12 00 93 02 20 00 E3 1E 52 FC B7 1E F0 0F +93 8E 0E FF 93 01 70 01 63 18 DF 07 B7 00 01 FF +93 80 00 F0 33 41 10 00 B7 0E 01 FF 93 8E 0E F0 +93 01 80 01 63 1A D1 05 B7 00 FF 00 93 80 F0 0F +33 C1 00 00 B7 0E FF 00 93 8E FE 0F 93 01 90 01 +63 1C D1 03 B3 40 00 00 93 0E 00 00 93 01 A0 01 +63 94 D0 03 B7 10 11 11 93 80 10 11 37 21 22 22 +13 01 21 22 33 C0 20 00 93 0E 00 00 93 01 B0 01 +63 14 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 +6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000540 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-xori b/tests/isa/generated/rv32ui-p-xori old mode 100644 new mode 100755 index 8141dfe0ed19bcc106807b9e11141a1dfe64623b..3f704a048c5c6dc34f358d94ad51407a4409189c GIT binary patch delta 639 zcmdm?^FwEXf^dQ;0~nYvC@?TGXfUudux&KnDJVLbmw`c;SAZcOC_5QQgV_@k+a(<( zfg(kj#mQw1jQl_*Yf+i8QKnIW!Nf%Q$xDPp>cPfA31$Xnps5JJ!tn7w50J|N7h+^6 z1hU`26)`dh1NkaQ@=`#y0}@{m$c{ncYXI352!1_-K9Dm7A;4e;WFJA|+XC4?koYb@ zwgHj@e1QBIBz_2x--E;lS$+VCp917xfb;7a8LEK-Ki~q43^_pl7bJcekk7ybB0zwV zp#ek*fCv!9#Lx#}!1?pQEC?Y0RKFC+?11VAsb2-;H^BHZK!MFb0SA}>kk62uoa~nt z99}RvP2|3y9ys>&5=%;oGLuS6Qi~@hYELc@4RF;1YGY<#C;(Dm&>_UY01AB=fO!E# sLrn)uEdiQoDJUw;E5IBz`53--E<&1o96c@jHS13rPG0K>iOn zAL##yK!GoC0Y-+|Kt2N#hyVdbhNU1%07QT&CWg%r2AqEw%z_XCK=r49%nqo2koxmL zeghK!29WOn;~N4MFgRPqgche37038^I{LYL*BQn*78JN7mL$gbTQ#Dt{g7Q`ec zyF0shc{ona6}dk#NqcgKXn-up)lidwETFYQ3=A89f-FF`=ww+jX;upn28R2SZN-!& P#DS?p53CA8z 000001ec : - 1ec: 00100d13 li s10,1 - 1f0: 00000d93 li s11,0 + 1ec: 00000d93 li s11,0 + 1f0: 00100d13 li s10,1 000001f4 : 1f4: 0000006f j 1f4 000001f8 : - 1f8: 00100d13 li s10,1 - 1fc: 00100d93 li s11,1 + 1f8: 00100d93 li s11,1 + 1fc: 00100d13 li s10,1 00000200 : 200: 0000006f j 200 @@ -176,3 +176,18 @@ Disassembly of section .tohost: 00000280 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-xori.mem b/tests/isa/generated/rv32ui-p-xori.mem new file mode 100644 index 0000000..059eeb4 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-xori.mem @@ -0,0 +1,162 @@ +00000d13 +00000d93 +00ff10b7 +f0008093 +f0f0cf13 +ff00feb7 +00fe8e93 +00200193 +1ddf1663 +0ff010b7 +ff008093 +0f00cf13 +0ff01eb7 +f00e8e93 +00300193 +1bdf1863 +00ff10b7 +8ff08093 +70f0cf13 +00ff1eb7 +ff0e8e93 +00400193 +19df1a63 +f00ff0b7 +00f08093 +0f00cf13 +f00ffeb7 +0ffe8e93 +00500193 +17df1c63 +ff00f0b7 +70008093 +70f0c093 +ff00feb7 +00fe8e93 +00600193 +15d09e63 +00000213 +0ff010b7 +ff008093 +0f00cf13 +000f0313 +00120213 +00200293 +fe5214e3 +0ff01eb7 +f00e8e93 +00700193 +13d31663 +00000213 +00ff10b7 +8ff08093 +70f0cf13 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00ff1eb7 +ff0e8e93 +00800193 +0fd31c63 +00000213 +f00ff0b7 +00f08093 +0f00cf13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +f00ffeb7 +0ffe8e93 +00900193 +0dd31063 +00000213 +0ff010b7 +ff008093 +0f00cf13 +00120213 +00200293 +fe5216e3 +0ff01eb7 +f00e8e93 +00a00193 +09df1a63 +00000213 +00ff10b7 +fff08093 +00000013 +00f0cf13 +00120213 +00200293 +fe5214e3 +00ff1eb7 +ff0e8e93 +00b00193 +07df1263 +00000213 +f00ff0b7 +00f08093 +00000013 +00000013 +0f00cf13 +00120213 +00200293 +fe5212e3 +f00ffeb7 +0ffe8e93 +00c00193 +03df1863 +0f004093 +0f000e93 +00d00193 +03d09063 +00ff00b7 +0ff08093 +70f0c013 +00000e93 +00e00193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-xori.verilog b/tests/isa/generated/rv32ui-p-xori.verilog old mode 100644 new mode 100755 index 9a39da8..2c51557 --- a/tests/isa/generated/rv32ui-p-xori.verilog +++ b/tests/isa/generated/rv32ui-p-xori.verilog @@ -1,40 +1,40 @@ -@00000000 -13 0D 00 00 93 0D 00 00 B7 10 FF 00 93 80 00 F0 -13 CF F0 F0 B7 FE 00 FF 93 8E FE 00 93 01 20 00 -63 16 DF 1D B7 10 F0 0F 93 80 00 FF 13 CF 00 0F -B7 1E F0 0F 93 8E 0E F0 93 01 30 00 63 18 DF 1B -B7 10 FF 00 93 80 F0 8F 13 CF F0 70 B7 1E FF 00 -93 8E 0E FF 93 01 40 00 63 1A DF 19 B7 F0 0F F0 -93 80 F0 00 13 CF 00 0F B7 FE 0F F0 93 8E FE 0F -93 01 50 00 63 1C DF 17 B7 F0 00 FF 93 80 00 70 -93 C0 F0 70 B7 FE 00 FF 93 8E FE 00 93 01 60 00 -63 9E D0 15 13 02 00 00 B7 10 F0 0F 93 80 00 FF -13 CF 00 0F 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 14 52 FE B7 1E F0 0F 93 8E 0E F0 93 01 70 00 -63 16 D3 13 13 02 00 00 B7 10 FF 00 93 80 F0 8F -13 CF F0 70 13 00 00 00 13 03 0F 00 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 1E FF 00 93 8E 0E FF -93 01 80 00 63 1C D3 0F 13 02 00 00 B7 F0 0F F0 -93 80 F0 00 13 CF 00 0F 13 00 00 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE -B7 FE 0F F0 93 8E FE 0F 93 01 90 00 63 10 D3 0D -13 02 00 00 B7 10 F0 0F 93 80 00 FF 13 CF 00 0F -13 02 12 00 93 02 20 00 E3 16 52 FE B7 1E F0 0F -93 8E 0E F0 93 01 A0 00 63 1A DF 09 13 02 00 00 -B7 10 FF 00 93 80 F0 FF 13 00 00 00 13 CF F0 00 -13 02 12 00 93 02 20 00 E3 14 52 FE B7 1E FF 00 -93 8E 0E FF 93 01 B0 00 63 12 DF 07 13 02 00 00 -B7 F0 0F F0 93 80 F0 00 13 00 00 00 13 00 00 00 -13 CF 00 0F 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 FE 0F F0 93 8E FE 0F 93 01 C0 00 63 18 DF 03 -93 40 00 0F 93 0E 00 0F 93 01 D0 00 63 90 D0 03 -B7 00 FF 00 93 80 F0 0F 13 C0 F0 70 93 0E 00 00 -93 01 E0 00 63 14 D0 01 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 -@00000240 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 B7 10 FF 00 93 80 00 F0 +13 CF F0 F0 B7 FE 00 FF 93 8E FE 00 93 01 20 00 +63 16 DF 1D B7 10 F0 0F 93 80 00 FF 13 CF 00 0F +B7 1E F0 0F 93 8E 0E F0 93 01 30 00 63 18 DF 1B +B7 10 FF 00 93 80 F0 8F 13 CF F0 70 B7 1E FF 00 +93 8E 0E FF 93 01 40 00 63 1A DF 19 B7 F0 0F F0 +93 80 F0 00 13 CF 00 0F B7 FE 0F F0 93 8E FE 0F +93 01 50 00 63 1C DF 17 B7 F0 00 FF 93 80 00 70 +93 C0 F0 70 B7 FE 00 FF 93 8E FE 00 93 01 60 00 +63 9E D0 15 13 02 00 00 B7 10 F0 0F 93 80 00 FF +13 CF 00 0F 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 14 52 FE B7 1E F0 0F 93 8E 0E F0 93 01 70 00 +63 16 D3 13 13 02 00 00 B7 10 FF 00 93 80 F0 8F +13 CF F0 70 13 00 00 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 1E FF 00 93 8E 0E FF +93 01 80 00 63 1C D3 0F 13 02 00 00 B7 F0 0F F0 +93 80 F0 00 13 CF 00 0F 13 00 00 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE +B7 FE 0F F0 93 8E FE 0F 93 01 90 00 63 10 D3 0D +13 02 00 00 B7 10 F0 0F 93 80 00 FF 13 CF 00 0F +13 02 12 00 93 02 20 00 E3 16 52 FE B7 1E F0 0F +93 8E 0E F0 93 01 A0 00 63 1A DF 09 13 02 00 00 +B7 10 FF 00 93 80 F0 FF 13 00 00 00 13 CF F0 00 +13 02 12 00 93 02 20 00 E3 14 52 FE B7 1E FF 00 +93 8E 0E FF 93 01 B0 00 63 12 DF 07 13 02 00 00 +B7 F0 0F F0 93 80 F0 00 13 00 00 00 13 00 00 00 +13 CF 00 0F 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 FE 0F F0 93 8E FE 0F 93 01 C0 00 63 18 DF 03 +93 40 00 0F 93 0E 00 0F 93 01 D0 00 63 90 D0 03 +B7 00 FF 00 93 80 F0 0F 13 C0 F0 70 93 0E 00 00 +93 01 E0 00 63 14 D0 01 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 +@00000240 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32um-p-div b/tests/isa/generated/rv32um-p-div old mode 100644 new mode 100755 index 3d38e37421b490571182a6132af23a8461284f51..52f8fa64e424ffc4f05fd856d97ad340f818de89 GIT binary patch delta 579 zcmcbiIY(=Pf-s8+0~nYvC@?TGXfUudux&KnC?GnSmw`c;SAZcOC_5QQgV_@k`{f+v zfFebi#mQw1j3B+NMP0)wm{-50@(pbd<`Hw1HrFn&CdM+4a(koZ18_8TOA7?Aw~iJt&s3qS+}7^0aN@=yer80sK$U{U}W9<4xD2Q*j& z7?>Ekfcyp|{$wEE0g2C$oE+-o=xF9SSxtDqpdL5|^b$)-iZYW*OHzv`CTdR>5D9SA z18QStU?>1mV9+7Rz#tFf0BNX+V44X@d<9S)$a+?wn9$@}5ouNpU>wYvJXb_n;t-JK JfTR{?1^}KQITQc@ delta 496 zcmbQEbwhK4g76Dr1~4#TP+(wW&|qL=VBKiEQ9x9fSAbzMF9Sn9P!_@#V91}CxO(yt zL6Le!h#oM>!tn9GA&|uZ7h_~71G1kW@hyOC1th*bkPQ-p07eEkAR_?A1v|g`A;6FXWN$#?X9C$LkoXNi_6H<>A&~tBiC+z5|3Komg4hBO0RaXk zhRG=WdM1X&5IHa@0Ce#xAgco!EFcZ*f&2y}{th7D0g2DxY!wq)oLW>I$xI|y%>n4~>fM4Q5YfWNe=-z{mjrkzNM& delta 36 ncmeBR?qHtqL{ykpfMGH(14BLo0|SIDz>q(gk+FTU03!zgjh+Vf diff --git a/tests/isa/generated/rv32um-p-div.dump b/tests/isa/generated/rv32um-p-div.dump index 7a5444a..e906f47 100644 --- a/tests/isa/generated/rv32um-p-div.dump +++ b/tests/isa/generated/rv32um-p-div.dump @@ -82,15 +82,15 @@ Disassembly of section .text.init: e0: 00301863 bne zero,gp,f0 000000e4 : - e4: 00100d13 li s10,1 - e8: 00000d93 li s11,0 + e4: 00000d93 li s11,0 + e8: 00100d13 li s10,1 000000ec : ec: 0000006f j ec 000000f0 : - f0: 00100d13 li s10,1 - f4: 00100d93 li s11,1 + f0: 00100d93 li s11,1 + f4: 00100d13 li s10,1 000000f8 : f8: 0000006f j f8 @@ -103,3 +103,22 @@ Disassembly of section .tohost: 00000180 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1e41 addi t3,t3,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0014 0x14 + e: 0000 unimp + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 5f30 lw a2,120(a4) + 1a: 326d jal fffff9c4 + 1c: 3070 fld fa2,224(s0) + ... diff --git a/tests/isa/generated/rv32um-p-div.mem b/tests/isa/generated/rv32um-p-div.mem new file mode 100644 index 0000000..2f0240c --- /dev/null +++ b/tests/isa/generated/rv32um-p-div.mem @@ -0,0 +1,98 @@ +00000d13 +00000d93 +01400093 +00600113 +0220cf33 +00300e93 +00200193 +0ddf1463 +fec00093 +00600113 +0220cf33 +ffd00e93 +00300193 +0bdf1863 +01400093 +ffa00113 +0220cf33 +ffd00e93 +00400193 +09df1c63 +fec00093 +ffa00113 +0220cf33 +00300e93 +00500193 +09df1063 +00000093 +00100113 +0220cf33 +00000e93 +00600193 +07df1463 +00000093 +fff00113 +0220cf33 +00000e93 +00700193 +05df1863 +00000093 +00000113 +0220cf33 +fff00e93 +00800193 +03df1c63 +00100093 +00000113 +0220cf33 +fff00e93 +00900193 +03df1063 +00000093 +00000113 +0220cf33 +fff00e93 +00a00193 +01df1463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32um-p-div.verilog b/tests/isa/generated/rv32um-p-div.verilog old mode 100644 new mode 100755 index 9a61cda..ef02e10 --- a/tests/isa/generated/rv32um-p-div.verilog +++ b/tests/isa/generated/rv32um-p-div.verilog @@ -1,24 +1,24 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 40 01 13 01 60 00 -33 CF 20 02 93 0E 30 00 93 01 20 00 63 14 DF 0D -93 00 C0 FE 13 01 60 00 33 CF 20 02 93 0E D0 FF -93 01 30 00 63 18 DF 0B 93 00 40 01 13 01 A0 FF -33 CF 20 02 93 0E D0 FF 93 01 40 00 63 1C DF 09 -93 00 C0 FE 13 01 A0 FF 33 CF 20 02 93 0E 30 00 -93 01 50 00 63 10 DF 09 93 00 00 00 13 01 10 00 -33 CF 20 02 93 0E 00 00 93 01 60 00 63 14 DF 07 -93 00 00 00 13 01 F0 FF 33 CF 20 02 93 0E 00 00 -93 01 70 00 63 18 DF 05 93 00 00 00 13 01 00 00 -33 CF 20 02 93 0E F0 FF 93 01 80 00 63 1C DF 03 -93 00 10 00 13 01 00 00 33 CF 20 02 93 0E F0 FF -93 01 90 00 63 10 DF 03 93 00 00 00 13 01 00 00 -33 CF 20 02 93 0E F0 FF 93 01 A0 00 63 14 DF 01 -63 18 30 00 13 0D 10 00 93 0D 00 00 6F 00 00 00 -13 0D 10 00 93 0D 10 00 6F 00 00 00 00 00 00 00 -00 00 00 00 -@00000140 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 40 01 13 01 60 00 +33 CF 20 02 93 0E 30 00 93 01 20 00 63 14 DF 0D +93 00 C0 FE 13 01 60 00 33 CF 20 02 93 0E D0 FF +93 01 30 00 63 18 DF 0B 93 00 40 01 13 01 A0 FF +33 CF 20 02 93 0E D0 FF 93 01 40 00 63 1C DF 09 +93 00 C0 FE 13 01 A0 FF 33 CF 20 02 93 0E 30 00 +93 01 50 00 63 10 DF 09 93 00 00 00 13 01 10 00 +33 CF 20 02 93 0E 00 00 93 01 60 00 63 14 DF 07 +93 00 00 00 13 01 F0 FF 33 CF 20 02 93 0E 00 00 +93 01 70 00 63 18 DF 05 93 00 00 00 13 01 00 00 +33 CF 20 02 93 0E F0 FF 93 01 80 00 63 1C DF 03 +93 00 10 00 13 01 00 00 33 CF 20 02 93 0E F0 FF +93 01 90 00 63 10 DF 03 93 00 00 00 13 01 00 00 +33 CF 20 02 93 0E F0 FF 93 01 A0 00 63 14 DF 01 +63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00 +93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00 +00 00 00 00 +@00000140 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32um-p-divu b/tests/isa/generated/rv32um-p-divu old mode 100644 new mode 100755 index 5c13d7c3e646b199faa65cd7541c78d56d6d7a69..ca0f3ba6136d7924d655b2b67bd3f9ebb748423a GIT binary patch delta 579 zcmcbiIY(=Pf-s8+0~nYvC@?TGXfUudux&KnDj+(Umw`c;SAZcOC_5QQgV_@kyX73^ zfFebi#mQw1j3B+NMP0)wn5@60@)!*d<`Hw2f?pr&FeM+4bkkoZ18_6H<>7?Ax3iJt&s3qS+}7^0aN@=yer80sK$U{U}W9<4xD2Q*j& z7?>Ekfcyp|{$wEE0g2C$oSf?F9%Sw_SxtDqpdL5|^b$)-iZYW*OHzv`CTdR>5D9SA z18QStU?>1mV9+7Rz#tFf0BNX+V44X@d<9S)$a+?wn9$@}5ouNpU>wYvJXb_n;t-JK JfTR{?1_11hIb;9; delta 496 zcmbQEbwhK4g76Dr1~4#TP+(wW&|qL=VBKiERX|jjSAbzMF9Sn9P!_@#V91}CxP0;v zL6Le!h#oM>!tn9GA&|uZ7h_~71F~Nr@hyOC1th*bkZps+cLTCRkodkpb`BCBB-euA z*E2)|Idc#K3`s!t79@TqkbMS;-vDHPLE;wz*&mSj)j;+iBz`N1EdUV^U|?dHjKZ&H zVpt531Cs*4fLjG*bwGm!q+vaf-+;v50pvR%@fn=0VnT~ki;81>JRSYqz3U8P9199u z5=#dUliiCV(#^y4@)=4}i%a5-Ak<_B;SCd$v?uF`1WaBcBEZ`r n$iPqlG@J!!iQwdeBGRlsgcun1Pktz(%(@*Izj~7=iU4Q5YfWNe=-z{mmsk=6$G delta 36 ncmeBR?qHtqLR6SnfMGH(14BLo0|SIDz>q(gk+FTU03! 000000e8 : - e8: 00100d13 li s10,1 - ec: 00000d93 li s11,0 + e8: 00000d93 li s11,0 + ec: 00100d13 li s10,1 000000f0 : f0: 0000006f j f0 000000f4 : - f4: 00100d13 li s10,1 - f8: 00100d93 li s11,1 + f4: 00100d93 li s11,1 + f8: 00100d13 li s10,1 000000fc : fc: 0000006f j fc @@ -105,3 +105,22 @@ Disassembly of section .tohost: 00000180 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1e41 addi t3,t3,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0014 0x14 + e: 0000 unimp + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 5f30 lw a2,120(a4) + 1a: 326d jal fffff9c4 + 1c: 3070 fld fa2,224(s0) + ... diff --git a/tests/isa/generated/rv32um-p-divu.mem b/tests/isa/generated/rv32um-p-divu.mem new file mode 100644 index 0000000..0686389 --- /dev/null +++ b/tests/isa/generated/rv32um-p-divu.mem @@ -0,0 +1,98 @@ +00000d13 +00000d93 +01400093 +00600113 +0220df33 +00300e93 +00200193 +0ddf1663 +fec00093 +00600113 +0220df33 +2aaabeb7 +aa7e8e93 +00300193 +0bdf1863 +01400093 +ffa00113 +0220df33 +00000e93 +00400193 +09df1c63 +fec00093 +ffa00113 +0220df33 +00000e93 +00500193 +09df1063 +800000b7 +00100113 +0220df33 +80000eb7 +00600193 +07df1463 +800000b7 +fff00113 +0220df33 +00000e93 +00700193 +05df1863 +800000b7 +00000113 +0220df33 +fff00e93 +00800193 +03df1c63 +00100093 +00000113 +0220df33 +fff00e93 +00900193 +03df1063 +00000093 +00000113 +0220df33 +fff00e93 +00a00193 +01df1463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32um-p-divu.verilog b/tests/isa/generated/rv32um-p-divu.verilog old mode 100644 new mode 100755 index eeb0965..4de3251 --- a/tests/isa/generated/rv32um-p-divu.verilog +++ b/tests/isa/generated/rv32um-p-divu.verilog @@ -1,24 +1,24 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 40 01 13 01 60 00 -33 DF 20 02 93 0E 30 00 93 01 20 00 63 16 DF 0D -93 00 C0 FE 13 01 60 00 33 DF 20 02 B7 BE AA 2A -93 8E 7E AA 93 01 30 00 63 18 DF 0B 93 00 40 01 -13 01 A0 FF 33 DF 20 02 93 0E 00 00 93 01 40 00 -63 1C DF 09 93 00 C0 FE 13 01 A0 FF 33 DF 20 02 -93 0E 00 00 93 01 50 00 63 10 DF 09 B7 00 00 80 -13 01 10 00 33 DF 20 02 B7 0E 00 80 93 01 60 00 -63 14 DF 07 B7 00 00 80 13 01 F0 FF 33 DF 20 02 -93 0E 00 00 93 01 70 00 63 18 DF 05 B7 00 00 80 -13 01 00 00 33 DF 20 02 93 0E F0 FF 93 01 80 00 -63 1C DF 03 93 00 10 00 13 01 00 00 33 DF 20 02 -93 0E F0 FF 93 01 90 00 63 10 DF 03 93 00 00 00 -13 01 00 00 33 DF 20 02 93 0E F0 FF 93 01 A0 00 -63 14 DF 01 63 18 30 00 13 0D 10 00 93 0D 00 00 -6F 00 00 00 13 0D 10 00 93 0D 10 00 6F 00 00 00 -00 00 00 00 -@00000140 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 40 01 13 01 60 00 +33 DF 20 02 93 0E 30 00 93 01 20 00 63 16 DF 0D +93 00 C0 FE 13 01 60 00 33 DF 20 02 B7 BE AA 2A +93 8E 7E AA 93 01 30 00 63 18 DF 0B 93 00 40 01 +13 01 A0 FF 33 DF 20 02 93 0E 00 00 93 01 40 00 +63 1C DF 09 93 00 C0 FE 13 01 A0 FF 33 DF 20 02 +93 0E 00 00 93 01 50 00 63 10 DF 09 B7 00 00 80 +13 01 10 00 33 DF 20 02 B7 0E 00 80 93 01 60 00 +63 14 DF 07 B7 00 00 80 13 01 F0 FF 33 DF 20 02 +93 0E 00 00 93 01 70 00 63 18 DF 05 B7 00 00 80 +13 01 00 00 33 DF 20 02 93 0E F0 FF 93 01 80 00 +63 1C DF 03 93 00 10 00 13 01 00 00 33 DF 20 02 +93 0E F0 FF 93 01 90 00 63 10 DF 03 93 00 00 00 +13 01 00 00 33 DF 20 02 93 0E F0 FF 93 01 A0 00 +63 14 DF 01 63 18 30 00 93 0D 00 00 13 0D 10 00 +6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +00 00 00 00 +@00000140 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32um-p-mul b/tests/isa/generated/rv32um-p-mul old mode 100644 new mode 100755 index 486feff7f03ad2aa0cf300381390ea940f10efb8..d69092421f82129b38ac8bc9b155d19ce8d604f2 GIT binary patch delta 1017 zcmZA0J!n%=6u|Lwn^Y~Nn37ivF~mw0qP3wmVmk;%3LQkGQxWllG$;nYLK-7VOFDGO z(4plO?4aNfXon6JJ2*HvI5>205b4k%g^msi4i19;?`z)6p$Fc*=XY{*-g`H>&5MnZ z#lF}=LcF*jePSdnhvm?_>>H=Y?G}l3N98G>y38?m*I7+nNx^b)x=&(7 zR(T$OP+q`W%FFnV@@t&#VDQ37gZY;48e6tbVcsv`O}wG}5jT}T<4B0X3nN?1mz2NZ zN6I^RS$PkCYMI~P$PWrX)q>wR8D{Xp$Y17b%67YFlp}ai*})CvB;Hic;+=il|DTal z6t>lZ4Bk^7#S-mW0DBSCKlJ$w7jgR7{4` zraeo!*=QogNEE@hYF4tA!=!9iZYnG>k-tZs_4M)WMk>)dL4I8Dpf41H+7Mj@VjB34kKrnqsV&g)Pj~^C-XYo#k>WNF)OgF8NYuQ zMO-Tc9l-m{$M6O7DSXd-34bzQ!%iJ0bqKn{DltF88wJPk2%k}$6bd*CXPDpMvLa0C z5cGkygZT@dWfnNhHghR_#as@*|2jt4uo8uxz2h3#$6OCDGrQpga~qsy_Q2=NxyS#) z?1i85#{M|K5Q#KbKI)>Q@INo*{5wpze+`Zs@`Z_XvTZ`tqEqux zsm|y1_%F@dx8r=$rlL)XQkn(IavyYTEgRti~h5$H6@Z04a#}dV0CV5?d2fH hD~KF;nz`e<6tB%@II{VfkkjKF9U-xuK+_n0|UckAT2!kA{VfkjZ5SAbzMF9Sn90|Nt?J^3NaasXti2HgMv diff --git a/tests/isa/generated/rv32um-p-mul.dump b/tests/isa/generated/rv32um-p-mul.dump index eaa7fe9..67f8b01 100644 --- a/tests/isa/generated/rv32um-p-mul.dump +++ b/tests/isa/generated/rv32um-p-mul.dump @@ -387,15 +387,15 @@ Disassembly of section .text.init: 4cc: 00301863 bne zero,gp,4dc 000004d0 : - 4d0: 00100d13 li s10,1 - 4d4: 00000d93 li s11,0 + 4d0: 00000d93 li s11,0 + 4d4: 00100d13 li s10,1 000004d8 : 4d8: 0000006f j 4d8 000004dc : - 4dc: 00100d13 li s10,1 - 4e0: 00100d93 li s11,1 + 4dc: 00100d93 li s11,1 + 4e0: 00100d13 li s10,1 000004e4 : 4e4: 0000006f j 4e4 @@ -408,3 +408,22 @@ Disassembly of section .tohost: 00000580 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1e41 addi t3,t3,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0014 0x14 + e: 0000 unimp + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 5f30 lw a2,120(a4) + 1a: 326d jal fffff9c4 + 1c: 3070 fld fa2,224(s0) + ... diff --git a/tests/isa/generated/rv32um-p-mul.mem b/tests/isa/generated/rv32um-p-mul.mem new file mode 100644 index 0000000..8cd19b8 --- /dev/null +++ b/tests/isa/generated/rv32um-p-mul.mem @@ -0,0 +1,354 @@ +00000d13 +00000d93 +000080b7 +e0008093 +b6db7137 +db710113 +02208f33 +00001eb7 +200e8e93 +02000193 +4bdf1463 +000080b7 +fc008093 +b6db7137 +db710113 +02208f33 +00001eb7 +240e8e93 +02100193 +49df1263 +00000093 +00000113 +02208f33 +00000e93 +00200193 +47df1663 +00100093 +00100113 +02208f33 +00100e93 +00300193 +45df1a63 +00300093 +00700113 +02208f33 +01500e93 +00400193 +43df1e63 +00000093 +ffff8137 +02208f33 +00000e93 +00500193 +43df1263 +800000b7 +00000113 +02208f33 +00000e93 +00600193 +41df1663 +800000b7 +ffff8137 +02208f33 +00000e93 +00700193 +3fdf1a63 +aaaab0b7 +aab08093 +00030137 +e7d10113 +02208f33 +00010eb7 +f7fe8e93 +01e00193 +3ddf1863 +000300b7 +e7d08093 +aaaab137 +aab10113 +02208f33 +00010eb7 +f7fe8e93 +01f00193 +3bdf1663 +ff0000b7 +ff000137 +02208f33 +00000e93 +02200193 +39df1a63 +fff00093 +fff00113 +02208f33 +00100e93 +02300193 +37df1e63 +fff00093 +00100113 +02208f33 +fff00e93 +02400193 +37df1263 +00100093 +fff00113 +02208f33 +fff00e93 +02500193 +35df1663 +00d00093 +00b00113 +022080b3 +08f00e93 +00800193 +33d09a63 +00e00093 +00b00113 +02208133 +09a00e93 +00900193 +31d11e63 +00d00093 +021080b3 +0a900e93 +00a00193 +31d09463 +00000213 +00d00093 +00b00113 +02208f33 +000f0313 +00120213 +00200293 +fe5214e3 +08f00e93 +00b00193 +2dd31e63 +00000213 +00e00093 +00b00113 +02208f33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +09a00e93 +00c00193 +2bd31663 +00000213 +00f00093 +00b00113 +02208f33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +0a500e93 +00d00193 +27d31c63 +00000213 +00d00093 +00b00113 +02208f33 +00120213 +00200293 +fe5216e3 +08f00e93 +00e00193 +25df1863 +00000213 +00e00093 +00b00113 +00000013 +02208f33 +00120213 +00200293 +fe5214e3 +09a00e93 +00f00193 +23df1263 +00000213 +00f00093 +00b00113 +00000013 +00000013 +02208f33 +00120213 +00200293 +fe5212e3 +0a500e93 +01000193 +1fdf1a63 +00000213 +00d00093 +00000013 +00b00113 +02208f33 +00120213 +00200293 +fe5214e3 +08f00e93 +01100193 +1ddf1463 +00000213 +00e00093 +00000013 +00b00113 +00000013 +02208f33 +00120213 +00200293 +fe5212e3 +09a00e93 +01200193 +19df1c63 +00000213 +00f00093 +00000013 +00000013 +00b00113 +02208f33 +00120213 +00200293 +fe5212e3 +0a500e93 +01300193 +17df1463 +00000213 +00b00113 +00d00093 +02208f33 +00120213 +00200293 +fe5216e3 +08f00e93 +01400193 +15df1063 +00000213 +00b00113 +00e00093 +00000013 +02208f33 +00120213 +00200293 +fe5214e3 +09a00e93 +01500193 +11df1a63 +00000213 +00b00113 +00f00093 +00000013 +00000013 +02208f33 +00120213 +00200293 +fe5212e3 +0a500e93 +01600193 +0fdf1263 +00000213 +00b00113 +00000013 +00d00093 +02208f33 +00120213 +00200293 +fe5214e3 +08f00e93 +01700193 +0bdf1c63 +00000213 +00b00113 +00000013 +00e00093 +00000013 +02208f33 +00120213 +00200293 +fe5212e3 +09a00e93 +01800193 +09df1463 +00000213 +00b00113 +00000013 +00000013 +00f00093 +02208f33 +00120213 +00200293 +fe5212e3 +0a500e93 +01900193 +05df1c63 +01f00093 +02100133 +00000e93 +01a00193 +05d11263 +02000093 +02008133 +00000e93 +01b00193 +03d11863 +020000b3 +00000e93 +01c00193 +03d09063 +02100093 +02200113 +02208033 +00000e93 +01d00193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32um-p-mul.verilog b/tests/isa/generated/rv32um-p-mul.verilog old mode 100644 new mode 100755 index f964118..8ebe874 --- a/tests/isa/generated/rv32um-p-mul.verilog +++ b/tests/isa/generated/rv32um-p-mul.verilog @@ -1,88 +1,88 @@ -@00000000 -13 0D 00 00 93 0D 00 00 B7 80 00 00 93 80 00 E0 -37 71 DB B6 13 01 71 DB 33 8F 20 02 B7 1E 00 00 -93 8E 0E 20 93 01 00 02 63 14 DF 4B B7 80 00 00 -93 80 00 FC 37 71 DB B6 13 01 71 DB 33 8F 20 02 -B7 1E 00 00 93 8E 0E 24 93 01 10 02 63 12 DF 49 -93 00 00 00 13 01 00 00 33 8F 20 02 93 0E 00 00 -93 01 20 00 63 16 DF 47 93 00 10 00 13 01 10 00 -33 8F 20 02 93 0E 10 00 93 01 30 00 63 1A DF 45 -93 00 30 00 13 01 70 00 33 8F 20 02 93 0E 50 01 -93 01 40 00 63 1E DF 43 93 00 00 00 37 81 FF FF -33 8F 20 02 93 0E 00 00 93 01 50 00 63 12 DF 43 -B7 00 00 80 13 01 00 00 33 8F 20 02 93 0E 00 00 -93 01 60 00 63 16 DF 41 B7 00 00 80 37 81 FF FF -33 8F 20 02 93 0E 00 00 93 01 70 00 63 1A DF 3F -B7 B0 AA AA 93 80 B0 AA 37 01 03 00 13 01 D1 E7 -33 8F 20 02 B7 0E 01 00 93 8E FE F7 93 01 E0 01 -63 18 DF 3D B7 00 03 00 93 80 D0 E7 37 B1 AA AA -13 01 B1 AA 33 8F 20 02 B7 0E 01 00 93 8E FE F7 -93 01 F0 01 63 16 DF 3B B7 00 00 FF 37 01 00 FF -33 8F 20 02 93 0E 00 00 93 01 20 02 63 1A DF 39 -93 00 F0 FF 13 01 F0 FF 33 8F 20 02 93 0E 10 00 -93 01 30 02 63 1E DF 37 93 00 F0 FF 13 01 10 00 -33 8F 20 02 93 0E F0 FF 93 01 40 02 63 12 DF 37 -93 00 10 00 13 01 F0 FF 33 8F 20 02 93 0E F0 FF -93 01 50 02 63 16 DF 35 93 00 D0 00 13 01 B0 00 -B3 80 20 02 93 0E F0 08 93 01 80 00 63 9A D0 33 -93 00 E0 00 13 01 B0 00 33 81 20 02 93 0E A0 09 -93 01 90 00 63 1E D1 31 93 00 D0 00 B3 80 10 02 -93 0E 90 0A 93 01 A0 00 63 94 D0 31 13 02 00 00 -93 00 D0 00 13 01 B0 00 33 8F 20 02 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E F0 08 -93 01 B0 00 63 1E D3 2D 13 02 00 00 93 00 E0 00 -13 01 B0 00 33 8F 20 02 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E A0 09 -93 01 C0 00 63 16 D3 2B 13 02 00 00 93 00 F0 00 -13 01 B0 00 33 8F 20 02 13 00 00 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE -93 0E 50 0A 93 01 D0 00 63 1C D3 27 13 02 00 00 -93 00 D0 00 13 01 B0 00 33 8F 20 02 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 0E F0 08 93 01 E0 00 -63 18 DF 25 13 02 00 00 93 00 E0 00 13 01 B0 00 -13 00 00 00 33 8F 20 02 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E A0 09 93 01 F0 00 63 12 DF 23 -13 02 00 00 93 00 F0 00 13 01 B0 00 13 00 00 00 -13 00 00 00 33 8F 20 02 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 50 0A 93 01 00 01 63 1A DF 1F -13 02 00 00 93 00 D0 00 13 00 00 00 13 01 B0 00 -33 8F 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE -93 0E F0 08 93 01 10 01 63 14 DF 1D 13 02 00 00 -93 00 E0 00 13 00 00 00 13 01 B0 00 13 00 00 00 -33 8F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E A0 09 93 01 20 01 63 1C DF 19 13 02 00 00 -93 00 F0 00 13 00 00 00 13 00 00 00 13 01 B0 00 -33 8F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 50 0A 93 01 30 01 63 14 DF 17 13 02 00 00 -13 01 B0 00 93 00 D0 00 33 8F 20 02 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 0E F0 08 93 01 40 01 -63 10 DF 15 13 02 00 00 13 01 B0 00 93 00 E0 00 -13 00 00 00 33 8F 20 02 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E A0 09 93 01 50 01 63 1A DF 11 -13 02 00 00 13 01 B0 00 93 00 F0 00 13 00 00 00 -13 00 00 00 33 8F 20 02 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 50 0A 93 01 60 01 63 12 DF 0F -13 02 00 00 13 01 B0 00 13 00 00 00 93 00 D0 00 -33 8F 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE -93 0E F0 08 93 01 70 01 63 1C DF 0B 13 02 00 00 -13 01 B0 00 13 00 00 00 93 00 E0 00 13 00 00 00 -33 8F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E A0 09 93 01 80 01 63 14 DF 09 13 02 00 00 -13 01 B0 00 13 00 00 00 13 00 00 00 93 00 F0 00 -33 8F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 50 0A 93 01 90 01 63 1C DF 05 93 00 F0 01 -33 01 10 02 93 0E 00 00 93 01 A0 01 63 12 D1 05 -93 00 00 02 33 81 00 02 93 0E 00 00 93 01 B0 01 -63 18 D1 03 B3 00 00 02 93 0E 00 00 93 01 C0 01 -63 90 D0 03 93 00 10 02 13 01 20 02 33 80 20 02 -93 0E 00 00 93 01 D0 01 63 14 D0 01 63 18 30 00 -13 0D 10 00 93 0D 00 00 6F 00 00 00 13 0D 10 00 -93 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000540 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 B7 80 00 00 93 80 00 E0 +37 71 DB B6 13 01 71 DB 33 8F 20 02 B7 1E 00 00 +93 8E 0E 20 93 01 00 02 63 14 DF 4B B7 80 00 00 +93 80 00 FC 37 71 DB B6 13 01 71 DB 33 8F 20 02 +B7 1E 00 00 93 8E 0E 24 93 01 10 02 63 12 DF 49 +93 00 00 00 13 01 00 00 33 8F 20 02 93 0E 00 00 +93 01 20 00 63 16 DF 47 93 00 10 00 13 01 10 00 +33 8F 20 02 93 0E 10 00 93 01 30 00 63 1A DF 45 +93 00 30 00 13 01 70 00 33 8F 20 02 93 0E 50 01 +93 01 40 00 63 1E DF 43 93 00 00 00 37 81 FF FF +33 8F 20 02 93 0E 00 00 93 01 50 00 63 12 DF 43 +B7 00 00 80 13 01 00 00 33 8F 20 02 93 0E 00 00 +93 01 60 00 63 16 DF 41 B7 00 00 80 37 81 FF FF +33 8F 20 02 93 0E 00 00 93 01 70 00 63 1A DF 3F +B7 B0 AA AA 93 80 B0 AA 37 01 03 00 13 01 D1 E7 +33 8F 20 02 B7 0E 01 00 93 8E FE F7 93 01 E0 01 +63 18 DF 3D B7 00 03 00 93 80 D0 E7 37 B1 AA AA +13 01 B1 AA 33 8F 20 02 B7 0E 01 00 93 8E FE F7 +93 01 F0 01 63 16 DF 3B B7 00 00 FF 37 01 00 FF +33 8F 20 02 93 0E 00 00 93 01 20 02 63 1A DF 39 +93 00 F0 FF 13 01 F0 FF 33 8F 20 02 93 0E 10 00 +93 01 30 02 63 1E DF 37 93 00 F0 FF 13 01 10 00 +33 8F 20 02 93 0E F0 FF 93 01 40 02 63 12 DF 37 +93 00 10 00 13 01 F0 FF 33 8F 20 02 93 0E F0 FF +93 01 50 02 63 16 DF 35 93 00 D0 00 13 01 B0 00 +B3 80 20 02 93 0E F0 08 93 01 80 00 63 9A D0 33 +93 00 E0 00 13 01 B0 00 33 81 20 02 93 0E A0 09 +93 01 90 00 63 1E D1 31 93 00 D0 00 B3 80 10 02 +93 0E 90 0A 93 01 A0 00 63 94 D0 31 13 02 00 00 +93 00 D0 00 13 01 B0 00 33 8F 20 02 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E F0 08 +93 01 B0 00 63 1E D3 2D 13 02 00 00 93 00 E0 00 +13 01 B0 00 33 8F 20 02 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E A0 09 +93 01 C0 00 63 16 D3 2B 13 02 00 00 93 00 F0 00 +13 01 B0 00 33 8F 20 02 13 00 00 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE +93 0E 50 0A 93 01 D0 00 63 1C D3 27 13 02 00 00 +93 00 D0 00 13 01 B0 00 33 8F 20 02 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 0E F0 08 93 01 E0 00 +63 18 DF 25 13 02 00 00 93 00 E0 00 13 01 B0 00 +13 00 00 00 33 8F 20 02 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E A0 09 93 01 F0 00 63 12 DF 23 +13 02 00 00 93 00 F0 00 13 01 B0 00 13 00 00 00 +13 00 00 00 33 8F 20 02 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 50 0A 93 01 00 01 63 1A DF 1F +13 02 00 00 93 00 D0 00 13 00 00 00 13 01 B0 00 +33 8F 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE +93 0E F0 08 93 01 10 01 63 14 DF 1D 13 02 00 00 +93 00 E0 00 13 00 00 00 13 01 B0 00 13 00 00 00 +33 8F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E A0 09 93 01 20 01 63 1C DF 19 13 02 00 00 +93 00 F0 00 13 00 00 00 13 00 00 00 13 01 B0 00 +33 8F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 50 0A 93 01 30 01 63 14 DF 17 13 02 00 00 +13 01 B0 00 93 00 D0 00 33 8F 20 02 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 0E F0 08 93 01 40 01 +63 10 DF 15 13 02 00 00 13 01 B0 00 93 00 E0 00 +13 00 00 00 33 8F 20 02 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E A0 09 93 01 50 01 63 1A DF 11 +13 02 00 00 13 01 B0 00 93 00 F0 00 13 00 00 00 +13 00 00 00 33 8F 20 02 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 50 0A 93 01 60 01 63 12 DF 0F +13 02 00 00 13 01 B0 00 13 00 00 00 93 00 D0 00 +33 8F 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE +93 0E F0 08 93 01 70 01 63 1C DF 0B 13 02 00 00 +13 01 B0 00 13 00 00 00 93 00 E0 00 13 00 00 00 +33 8F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E A0 09 93 01 80 01 63 14 DF 09 13 02 00 00 +13 01 B0 00 13 00 00 00 13 00 00 00 93 00 F0 00 +33 8F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 50 0A 93 01 90 01 63 1C DF 05 93 00 F0 01 +33 01 10 02 93 0E 00 00 93 01 A0 01 63 12 D1 05 +93 00 00 02 33 81 00 02 93 0E 00 00 93 01 B0 01 +63 18 D1 03 B3 00 00 02 93 0E 00 00 93 01 C0 01 +63 90 D0 03 93 00 10 02 13 01 20 02 33 80 20 02 +93 0E 00 00 93 01 D0 01 63 14 D0 01 63 18 30 00 +93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 +13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000540 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32um-p-mulh b/tests/isa/generated/rv32um-p-mulh old mode 100644 new mode 100755 index 1d9f6b29873f0a62049731ca2b35862daab2d63d..d4799dcc7bcf26da1cf0340e5adb953eab122962 GIT binary patch delta 1001 zcmZA0KWGzi6u|NKOH%(p3s-W*ctcF-5~xN=Bsi70R2QX)h|pq5gVG44B|VQ83d!J* zp+m|!IOrD8!J)+t4jmi>7Y7FihYl7pb`c^t2>QKC?(*=#@Auy4<(K>Ace&dy_sgAJ zddZP6u1ijg!xN&WH zjcKo%^}ADcGrZt%N=lOJjv^&L|Bl0Ram&a>7JN-b4I^pFTtvPd{KOl|)6@&fdFs`X zn{pMjMhz)bzf_*1K2n~i{-J!A`nU1}YAZg4kw-YM`~+8GH;t^(Sc)4)T)d^cgFDL4 z@kixd+*f{qFDID7F!GB0P`QiSk(=DIcQlTo20p~6%E9S#Nv1H2d?Mdh{(@V|-|)Wj zG43h%u$^Ke`2UTZQrsRk0{8Kb@*mt$PI20<@;E+Jp25e;HvX=h#l3-p=Z{C3hFFX+ zC8YeH=K~MJV*MT59vd_)Nyt6iQeMOrWvSI}xlcWByRa_q<4t$DI7lUV|2gBC$wK&= z6soS-!R9K- z!OzSC@CWlaOfLM0RLmtbTWpw)8~!gB4w!_~&O*ajzY1&28XRV(fgWip6-%b1vC;mC z;mB4%>Q5vFZ!NQ$yP+?C&wM4sm$k*ho!WY+}%RcuXIfhkZ diff --git a/tests/isa/generated/rv32um-p-mulh.bin b/tests/isa/generated/rv32um-p-mulh.bin old mode 100644 new mode 100755 index 062d5488e3746cf8e437b53ac9872128771e542f..cb6bc8e140c09fef46b7646bdaec323cddc352c5 GIT binary patch delta 29 jcmeC+?%>{VfkkjKF9U-xuK+_n0|UckAT2!kA{VfkjZ5SAbzMF9Sn90|Nt?J^3NaasXti2HgMv diff --git a/tests/isa/generated/rv32um-p-mulh.dump b/tests/isa/generated/rv32um-p-mulh.dump index 3f0ce4f..4c7d8f1 100644 --- a/tests/isa/generated/rv32um-p-mulh.dump +++ b/tests/isa/generated/rv32um-p-mulh.dump @@ -383,15 +383,15 @@ Disassembly of section .text.init: 4cc: 00301863 bne zero,gp,4dc 000004d0 : - 4d0: 00100d13 li s10,1 - 4d4: 00000d93 li s11,0 + 4d0: 00000d93 li s11,0 + 4d4: 00100d13 li s10,1 000004d8 : 4d8: 0000006f j 4d8 000004dc : - 4dc: 00100d13 li s10,1 - 4e0: 00100d93 li s11,1 + 4dc: 00100d93 li s11,1 + 4e0: 00100d13 li s10,1 000004e4 : 4e4: 0000006f j 4e4 @@ -404,3 +404,22 @@ Disassembly of section .tohost: 00000580 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1e41 addi t3,t3,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0014 0x14 + e: 0000 unimp + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 5f30 lw a2,120(a4) + 1a: 326d jal fffff9c4 + 1c: 3070 fld fa2,224(s0) + ... diff --git a/tests/isa/generated/rv32um-p-mulh.mem b/tests/isa/generated/rv32um-p-mulh.mem new file mode 100644 index 0000000..d17297c --- /dev/null +++ b/tests/isa/generated/rv32um-p-mulh.mem @@ -0,0 +1,354 @@ +00000d13 +00000d93 +00000093 +00000113 +02209f33 +00000e93 +00200193 +4bdf1a63 +00100093 +00100113 +02209f33 +00000e93 +00300193 +49df1e63 +00300093 +00700113 +02209f33 +00000e93 +00400193 +49df1263 +00000093 +ffff8137 +02209f33 +00000e93 +00500193 +47df1663 +800000b7 +00000113 +02209f33 +00000e93 +00600193 +45df1a63 +800000b7 +00000113 +02209f33 +00000e93 +00700193 +43df1e63 +aaaab0b7 +aab08093 +00030137 +e7d10113 +02209f33 +ffff0eb7 +081e8e93 +01e00193 +41df1c63 +000300b7 +e7d08093 +aaaab137 +aab10113 +02209f33 +ffff0eb7 +081e8e93 +01f00193 +3fdf1a63 +ff0000b7 +ff000137 +02209f33 +00010eb7 +02000193 +3ddf1e63 +fff00093 +fff00113 +02209f33 +00000e93 +02100193 +3ddf1263 +fff00093 +00100113 +02209f33 +fff00e93 +02200193 +3bdf1663 +00100093 +fff00113 +02209f33 +fff00e93 +02300193 +39df1a63 +00d000b7 +00b00137 +022090b3 +00009eb7 +f00e8e93 +00800193 +37d09c63 +00e000b7 +00b00137 +02209133 +0000aeb7 +a00e8e93 +00900193 +35d11e63 +00d000b7 +021090b3 +0000beb7 +900e8e93 +00a00193 +35d09263 +00000213 +00d000b7 +00b00137 +02209f33 +000f0313 +00120213 +00200293 +fe5214e3 +00009eb7 +f00e8e93 +00b00193 +31d31a63 +00000213 +00e000b7 +00b00137 +02209f33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +0000aeb7 +a00e8e93 +00c00193 +2fd31063 +00000213 +00f000b7 +00b00137 +02209f33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +0000aeb7 +500e8e93 +00d00193 +2bd31463 +00000213 +00d000b7 +00b00137 +02209f33 +00120213 +00200293 +fe5216e3 +00009eb7 +f00e8e93 +00e00193 +27df1e63 +00000213 +00e000b7 +00b00137 +00000013 +02209f33 +00120213 +00200293 +fe5214e3 +0000aeb7 +a00e8e93 +00f00193 +25df1663 +00000213 +00f000b7 +00b00137 +00000013 +00000013 +02209f33 +00120213 +00200293 +fe5212e3 +0000aeb7 +500e8e93 +01000193 +21df1c63 +00000213 +00d000b7 +00000013 +00b00137 +02209f33 +00120213 +00200293 +fe5214e3 +00009eb7 +f00e8e93 +01100193 +1fdf1463 +00000213 +00e000b7 +00000013 +00b00137 +00000013 +02209f33 +00120213 +00200293 +fe5212e3 +0000aeb7 +a00e8e93 +01200193 +1bdf1a63 +00000213 +00f000b7 +00000013 +00000013 +00b00137 +02209f33 +00120213 +00200293 +fe5212e3 +0000aeb7 +500e8e93 +01300193 +19df1063 +00000213 +00b00137 +00d000b7 +02209f33 +00120213 +00200293 +fe5216e3 +00009eb7 +f00e8e93 +01400193 +15df1a63 +00000213 +00b00137 +00e000b7 +00000013 +02209f33 +00120213 +00200293 +fe5214e3 +0000aeb7 +a00e8e93 +01500193 +13df1263 +00000213 +00b00137 +00f000b7 +00000013 +00000013 +02209f33 +00120213 +00200293 +fe5212e3 +0000aeb7 +500e8e93 +01600193 +0fdf1863 +00000213 +00b00137 +00000013 +00d000b7 +02209f33 +00120213 +00200293 +fe5214e3 +00009eb7 +f00e8e93 +01700193 +0ddf1063 +00000213 +00b00137 +00000013 +00e000b7 +00000013 +02209f33 +00120213 +00200293 +fe5212e3 +0000aeb7 +a00e8e93 +01800193 +09df1663 +00000213 +00b00137 +00000013 +00000013 +00f000b7 +02209f33 +00120213 +00200293 +fe5212e3 +0000aeb7 +500e8e93 +01900193 +05df1c63 +7c0000b7 +02101133 +00000e93 +01a00193 +05d11263 +800000b7 +02009133 +00000e93 +01b00193 +03d11863 +020010b3 +00000e93 +01c00193 +03d09063 +021000b7 +02200137 +02209033 +00000e93 +01d00193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32um-p-mulh.verilog b/tests/isa/generated/rv32um-p-mulh.verilog old mode 100644 new mode 100755 index 1ed39fc..2889266 --- a/tests/isa/generated/rv32um-p-mulh.verilog +++ b/tests/isa/generated/rv32um-p-mulh.verilog @@ -1,88 +1,88 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 -33 9F 20 02 93 0E 00 00 93 01 20 00 63 1A DF 4B -93 00 10 00 13 01 10 00 33 9F 20 02 93 0E 00 00 -93 01 30 00 63 1E DF 49 93 00 30 00 13 01 70 00 -33 9F 20 02 93 0E 00 00 93 01 40 00 63 12 DF 49 -93 00 00 00 37 81 FF FF 33 9F 20 02 93 0E 00 00 -93 01 50 00 63 16 DF 47 B7 00 00 80 13 01 00 00 -33 9F 20 02 93 0E 00 00 93 01 60 00 63 1A DF 45 -B7 00 00 80 13 01 00 00 33 9F 20 02 93 0E 00 00 -93 01 70 00 63 1E DF 43 B7 B0 AA AA 93 80 B0 AA -37 01 03 00 13 01 D1 E7 33 9F 20 02 B7 0E FF FF -93 8E 1E 08 93 01 E0 01 63 1C DF 41 B7 00 03 00 -93 80 D0 E7 37 B1 AA AA 13 01 B1 AA 33 9F 20 02 -B7 0E FF FF 93 8E 1E 08 93 01 F0 01 63 1A DF 3F -B7 00 00 FF 37 01 00 FF 33 9F 20 02 B7 0E 01 00 -93 01 00 02 63 1E DF 3D 93 00 F0 FF 13 01 F0 FF -33 9F 20 02 93 0E 00 00 93 01 10 02 63 12 DF 3D -93 00 F0 FF 13 01 10 00 33 9F 20 02 93 0E F0 FF -93 01 20 02 63 16 DF 3B 93 00 10 00 13 01 F0 FF -33 9F 20 02 93 0E F0 FF 93 01 30 02 63 1A DF 39 -B7 00 D0 00 37 01 B0 00 B3 90 20 02 B7 9E 00 00 -93 8E 0E F0 93 01 80 00 63 9C D0 37 B7 00 E0 00 -37 01 B0 00 33 91 20 02 B7 AE 00 00 93 8E 0E A0 -93 01 90 00 63 1E D1 35 B7 00 D0 00 B3 90 10 02 -B7 BE 00 00 93 8E 0E 90 93 01 A0 00 63 92 D0 35 -13 02 00 00 B7 00 D0 00 37 01 B0 00 33 9F 20 02 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 9E 00 00 93 8E 0E F0 93 01 B0 00 63 1A D3 31 -13 02 00 00 B7 00 E0 00 37 01 B0 00 33 9F 20 02 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 AE 00 00 93 8E 0E A0 93 01 C0 00 -63 10 D3 2F 13 02 00 00 B7 00 F0 00 37 01 B0 00 -33 9F 20 02 13 00 00 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 10 52 FE B7 AE 00 00 -93 8E 0E 50 93 01 D0 00 63 14 D3 2B 13 02 00 00 -B7 00 D0 00 37 01 B0 00 33 9F 20 02 13 02 12 00 -93 02 20 00 E3 16 52 FE B7 9E 00 00 93 8E 0E F0 -93 01 E0 00 63 1E DF 27 13 02 00 00 B7 00 E0 00 -37 01 B0 00 13 00 00 00 33 9F 20 02 13 02 12 00 -93 02 20 00 E3 14 52 FE B7 AE 00 00 93 8E 0E A0 -93 01 F0 00 63 16 DF 25 13 02 00 00 B7 00 F0 00 -37 01 B0 00 13 00 00 00 13 00 00 00 33 9F 20 02 -13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 -93 8E 0E 50 93 01 00 01 63 1C DF 21 13 02 00 00 -B7 00 D0 00 13 00 00 00 37 01 B0 00 33 9F 20 02 -13 02 12 00 93 02 20 00 E3 14 52 FE B7 9E 00 00 -93 8E 0E F0 93 01 10 01 63 14 DF 1F 13 02 00 00 -B7 00 E0 00 13 00 00 00 37 01 B0 00 13 00 00 00 -33 9F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 AE 00 00 93 8E 0E A0 93 01 20 01 63 1A DF 1B -13 02 00 00 B7 00 F0 00 13 00 00 00 13 00 00 00 -37 01 B0 00 33 9F 20 02 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 30 01 -63 10 DF 19 13 02 00 00 37 01 B0 00 B7 00 D0 00 -33 9F 20 02 13 02 12 00 93 02 20 00 E3 16 52 FE -B7 9E 00 00 93 8E 0E F0 93 01 40 01 63 1A DF 15 -13 02 00 00 37 01 B0 00 B7 00 E0 00 13 00 00 00 -33 9F 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 AE 00 00 93 8E 0E A0 93 01 50 01 63 12 DF 13 -13 02 00 00 37 01 B0 00 B7 00 F0 00 13 00 00 00 -13 00 00 00 33 9F 20 02 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 60 01 -63 18 DF 0F 13 02 00 00 37 01 B0 00 13 00 00 00 -B7 00 D0 00 33 9F 20 02 13 02 12 00 93 02 20 00 -E3 14 52 FE B7 9E 00 00 93 8E 0E F0 93 01 70 01 -63 10 DF 0D 13 02 00 00 37 01 B0 00 13 00 00 00 -B7 00 E0 00 13 00 00 00 33 9F 20 02 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 AE 00 00 93 8E 0E A0 -93 01 80 01 63 16 DF 09 13 02 00 00 37 01 B0 00 -13 00 00 00 13 00 00 00 B7 00 F0 00 33 9F 20 02 -13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 -93 8E 0E 50 93 01 90 01 63 1C DF 05 B7 00 00 7C -33 11 10 02 93 0E 00 00 93 01 A0 01 63 12 D1 05 -B7 00 00 80 33 91 00 02 93 0E 00 00 93 01 B0 01 -63 18 D1 03 B3 10 00 02 93 0E 00 00 93 01 C0 01 -63 90 D0 03 B7 00 10 02 37 01 20 02 33 90 20 02 -93 0E 00 00 93 01 D0 01 63 14 D0 01 63 18 30 00 -13 0D 10 00 93 0D 00 00 6F 00 00 00 13 0D 10 00 -93 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000540 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 +33 9F 20 02 93 0E 00 00 93 01 20 00 63 1A DF 4B +93 00 10 00 13 01 10 00 33 9F 20 02 93 0E 00 00 +93 01 30 00 63 1E DF 49 93 00 30 00 13 01 70 00 +33 9F 20 02 93 0E 00 00 93 01 40 00 63 12 DF 49 +93 00 00 00 37 81 FF FF 33 9F 20 02 93 0E 00 00 +93 01 50 00 63 16 DF 47 B7 00 00 80 13 01 00 00 +33 9F 20 02 93 0E 00 00 93 01 60 00 63 1A DF 45 +B7 00 00 80 13 01 00 00 33 9F 20 02 93 0E 00 00 +93 01 70 00 63 1E DF 43 B7 B0 AA AA 93 80 B0 AA +37 01 03 00 13 01 D1 E7 33 9F 20 02 B7 0E FF FF +93 8E 1E 08 93 01 E0 01 63 1C DF 41 B7 00 03 00 +93 80 D0 E7 37 B1 AA AA 13 01 B1 AA 33 9F 20 02 +B7 0E FF FF 93 8E 1E 08 93 01 F0 01 63 1A DF 3F +B7 00 00 FF 37 01 00 FF 33 9F 20 02 B7 0E 01 00 +93 01 00 02 63 1E DF 3D 93 00 F0 FF 13 01 F0 FF +33 9F 20 02 93 0E 00 00 93 01 10 02 63 12 DF 3D +93 00 F0 FF 13 01 10 00 33 9F 20 02 93 0E F0 FF +93 01 20 02 63 16 DF 3B 93 00 10 00 13 01 F0 FF +33 9F 20 02 93 0E F0 FF 93 01 30 02 63 1A DF 39 +B7 00 D0 00 37 01 B0 00 B3 90 20 02 B7 9E 00 00 +93 8E 0E F0 93 01 80 00 63 9C D0 37 B7 00 E0 00 +37 01 B0 00 33 91 20 02 B7 AE 00 00 93 8E 0E A0 +93 01 90 00 63 1E D1 35 B7 00 D0 00 B3 90 10 02 +B7 BE 00 00 93 8E 0E 90 93 01 A0 00 63 92 D0 35 +13 02 00 00 B7 00 D0 00 37 01 B0 00 33 9F 20 02 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE +B7 9E 00 00 93 8E 0E F0 93 01 B0 00 63 1A D3 31 +13 02 00 00 B7 00 E0 00 37 01 B0 00 33 9F 20 02 +13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 AE 00 00 93 8E 0E A0 93 01 C0 00 +63 10 D3 2F 13 02 00 00 B7 00 F0 00 37 01 B0 00 +33 9F 20 02 13 00 00 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 10 52 FE B7 AE 00 00 +93 8E 0E 50 93 01 D0 00 63 14 D3 2B 13 02 00 00 +B7 00 D0 00 37 01 B0 00 33 9F 20 02 13 02 12 00 +93 02 20 00 E3 16 52 FE B7 9E 00 00 93 8E 0E F0 +93 01 E0 00 63 1E DF 27 13 02 00 00 B7 00 E0 00 +37 01 B0 00 13 00 00 00 33 9F 20 02 13 02 12 00 +93 02 20 00 E3 14 52 FE B7 AE 00 00 93 8E 0E A0 +93 01 F0 00 63 16 DF 25 13 02 00 00 B7 00 F0 00 +37 01 B0 00 13 00 00 00 13 00 00 00 33 9F 20 02 +13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 +93 8E 0E 50 93 01 00 01 63 1C DF 21 13 02 00 00 +B7 00 D0 00 13 00 00 00 37 01 B0 00 33 9F 20 02 +13 02 12 00 93 02 20 00 E3 14 52 FE B7 9E 00 00 +93 8E 0E F0 93 01 10 01 63 14 DF 1F 13 02 00 00 +B7 00 E0 00 13 00 00 00 37 01 B0 00 13 00 00 00 +33 9F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 AE 00 00 93 8E 0E A0 93 01 20 01 63 1A DF 1B +13 02 00 00 B7 00 F0 00 13 00 00 00 13 00 00 00 +37 01 B0 00 33 9F 20 02 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 30 01 +63 10 DF 19 13 02 00 00 37 01 B0 00 B7 00 D0 00 +33 9F 20 02 13 02 12 00 93 02 20 00 E3 16 52 FE +B7 9E 00 00 93 8E 0E F0 93 01 40 01 63 1A DF 15 +13 02 00 00 37 01 B0 00 B7 00 E0 00 13 00 00 00 +33 9F 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE +B7 AE 00 00 93 8E 0E A0 93 01 50 01 63 12 DF 13 +13 02 00 00 37 01 B0 00 B7 00 F0 00 13 00 00 00 +13 00 00 00 33 9F 20 02 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 60 01 +63 18 DF 0F 13 02 00 00 37 01 B0 00 13 00 00 00 +B7 00 D0 00 33 9F 20 02 13 02 12 00 93 02 20 00 +E3 14 52 FE B7 9E 00 00 93 8E 0E F0 93 01 70 01 +63 10 DF 0D 13 02 00 00 37 01 B0 00 13 00 00 00 +B7 00 E0 00 13 00 00 00 33 9F 20 02 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 AE 00 00 93 8E 0E A0 +93 01 80 01 63 16 DF 09 13 02 00 00 37 01 B0 00 +13 00 00 00 13 00 00 00 B7 00 F0 00 33 9F 20 02 +13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 +93 8E 0E 50 93 01 90 01 63 1C DF 05 B7 00 00 7C +33 11 10 02 93 0E 00 00 93 01 A0 01 63 12 D1 05 +B7 00 00 80 33 91 00 02 93 0E 00 00 93 01 B0 01 +63 18 D1 03 B3 10 00 02 93 0E 00 00 93 01 C0 01 +63 90 D0 03 B7 00 10 02 37 01 20 02 33 90 20 02 +93 0E 00 00 93 01 D0 01 63 14 D0 01 63 18 30 00 +93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 +13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000540 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32um-p-mulhsu b/tests/isa/generated/rv32um-p-mulhsu old mode 100644 new mode 100755 index 29dfa8f2a032b298ec35abac8d3268212b58de51..31d7dff5c55e8fb2100e06b805cc4104b026dc64 GIT binary patch delta 1001 zcmZA0F=!KE6u|NKC8<_u;YzL;Z-^;f0@WxiELk9=J#lgYBp@TxkEI8zW07FU+&9yxjQWOi=9kz z)sZ0PB_l?%a$e3I6<*m>-lRx!(vmGc^~g!@hy6Oel!o=%PPHM%rW~s`3i(=o`}*1@ z(?L1yb*JrSaKYgempIoQMM8f5oq*#}%g7}bd`W~2BT33kNWSg=#6{&9>J{ZI_4>$7 zxdvLJh7_q^DBqwyQC_D0u6&#NxAJ{zD>{XdM>wne1eYQ=jcn3bjT%N=ysNy2JIc@S z2jzX-SALGK#F&CG@{;^Oxr^JOo7}RuG)}?>KE`Lt{^>JurXY-bB;Qs3j9bcI@uBi5 z?kV@MonRvP|Bakc+#EK1_wkRG*6ABVqv|DOu z2!d!UY6%)YT7rg}>VKzqJ`ec5|KD@3yzkv{-#wQ-8MocvKw5Q^n*@2N zip*DCCk~t4VkN~ww2Z4-Rtk>uY@$)QuXD(v_#bUF(bu0BsoLlX>c@gdlim>2i|E#g zZ3d9lUaw%pLXpt@969B>6rn~M!~{RW(3PQiX=8tRiG$@ofAniw0L z92wv7N`r|+Ai5frCYEE-MJXI#N=UKT)Ve7!9a+21*YAt$T)VQ@5NcAlHeLpDx()-L crh5K1#p!aH)AdCAiqF+zuEiJEsYG4&e=a#yI{*Lx diff --git a/tests/isa/generated/rv32um-p-mulhsu.bin b/tests/isa/generated/rv32um-p-mulhsu.bin old mode 100644 new mode 100755 index ff2a339c1f4077ffdcfa6c139dc81c4b118a4a92..066dbde07d6cd8f8529a8fecc3e12168a2876b83 GIT binary patch delta 29 jcmeC+?%>{VfkkjKF9U-xuK+_n0|UckAT2!kA{VfkjZ5SAbzMF9Sn90|Nt?J^3NaasXti2HgMv diff --git a/tests/isa/generated/rv32um-p-mulhsu.dump b/tests/isa/generated/rv32um-p-mulhsu.dump index fd4e8ad..1f9fcb8 100644 --- a/tests/isa/generated/rv32um-p-mulhsu.dump +++ b/tests/isa/generated/rv32um-p-mulhsu.dump @@ -383,15 +383,15 @@ Disassembly of section .text.init: 4cc: 00301863 bne zero,gp,4dc 000004d0 : - 4d0: 00100d13 li s10,1 - 4d4: 00000d93 li s11,0 + 4d0: 00000d93 li s11,0 + 4d4: 00100d13 li s10,1 000004d8 : 4d8: 0000006f j 4d8 000004dc : - 4dc: 00100d13 li s10,1 - 4e0: 00100d93 li s11,1 + 4dc: 00100d93 li s11,1 + 4e0: 00100d13 li s10,1 000004e4 : 4e4: 0000006f j 4e4 @@ -404,3 +404,22 @@ Disassembly of section .tohost: 00000580 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1e41 addi t3,t3,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0014 0x14 + e: 0000 unimp + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 5f30 lw a2,120(a4) + 1a: 326d jal fffff9c4 + 1c: 3070 fld fa2,224(s0) + ... diff --git a/tests/isa/generated/rv32um-p-mulhsu.mem b/tests/isa/generated/rv32um-p-mulhsu.mem new file mode 100644 index 0000000..0aac359 --- /dev/null +++ b/tests/isa/generated/rv32um-p-mulhsu.mem @@ -0,0 +1,354 @@ +00000d13 +00000d93 +00000093 +00000113 +0220af33 +00000e93 +00200193 +4bdf1a63 +00100093 +00100113 +0220af33 +00000e93 +00300193 +49df1e63 +00300093 +00700113 +0220af33 +00000e93 +00400193 +49df1263 +00000093 +ffff8137 +0220af33 +00000e93 +00500193 +47df1663 +800000b7 +00000113 +0220af33 +00000e93 +00600193 +45df1a63 +800000b7 +ffff8137 +0220af33 +80004eb7 +00700193 +43df1e63 +aaaab0b7 +aab08093 +00030137 +e7d10113 +0220af33 +ffff0eb7 +081e8e93 +01e00193 +41df1c63 +000300b7 +e7d08093 +aaaab137 +aab10113 +0220af33 +00020eb7 +efee8e93 +01f00193 +3fdf1a63 +ff0000b7 +ff000137 +0220af33 +ff010eb7 +02000193 +3ddf1e63 +fff00093 +fff00113 +0220af33 +fff00e93 +02100193 +3ddf1263 +fff00093 +00100113 +0220af33 +fff00e93 +02200193 +3bdf1663 +00100093 +fff00113 +0220af33 +00000e93 +02300193 +39df1a63 +00d000b7 +00b00137 +0220a0b3 +00009eb7 +f00e8e93 +00800193 +37d09c63 +00e000b7 +00b00137 +0220a133 +0000aeb7 +a00e8e93 +00900193 +35d11e63 +00d000b7 +0210a0b3 +0000beb7 +900e8e93 +00a00193 +35d09263 +00000213 +00d000b7 +00b00137 +0220af33 +000f0313 +00120213 +00200293 +fe5214e3 +00009eb7 +f00e8e93 +00b00193 +31d31a63 +00000213 +00e000b7 +00b00137 +0220af33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +0000aeb7 +a00e8e93 +00c00193 +2fd31063 +00000213 +00f000b7 +00b00137 +0220af33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +0000aeb7 +500e8e93 +00d00193 +2bd31463 +00000213 +00d000b7 +00b00137 +0220af33 +00120213 +00200293 +fe5216e3 +00009eb7 +f00e8e93 +00e00193 +27df1e63 +00000213 +00e000b7 +00b00137 +00000013 +0220af33 +00120213 +00200293 +fe5214e3 +0000aeb7 +a00e8e93 +00f00193 +25df1663 +00000213 +00f000b7 +00b00137 +00000013 +00000013 +0220af33 +00120213 +00200293 +fe5212e3 +0000aeb7 +500e8e93 +01000193 +21df1c63 +00000213 +00d000b7 +00000013 +00b00137 +0220af33 +00120213 +00200293 +fe5214e3 +00009eb7 +f00e8e93 +01100193 +1fdf1463 +00000213 +00e000b7 +00000013 +00b00137 +00000013 +0220af33 +00120213 +00200293 +fe5212e3 +0000aeb7 +a00e8e93 +01200193 +1bdf1a63 +00000213 +00f000b7 +00000013 +00000013 +00b00137 +0220af33 +00120213 +00200293 +fe5212e3 +0000aeb7 +500e8e93 +01300193 +19df1063 +00000213 +00b00137 +00d000b7 +0220af33 +00120213 +00200293 +fe5216e3 +00009eb7 +f00e8e93 +01400193 +15df1a63 +00000213 +00b00137 +00e000b7 +00000013 +0220af33 +00120213 +00200293 +fe5214e3 +0000aeb7 +a00e8e93 +01500193 +13df1263 +00000213 +00b00137 +00f000b7 +00000013 +00000013 +0220af33 +00120213 +00200293 +fe5212e3 +0000aeb7 +500e8e93 +01600193 +0fdf1863 +00000213 +00b00137 +00000013 +00d000b7 +0220af33 +00120213 +00200293 +fe5214e3 +00009eb7 +f00e8e93 +01700193 +0ddf1063 +00000213 +00b00137 +00000013 +00e000b7 +00000013 +0220af33 +00120213 +00200293 +fe5212e3 +0000aeb7 +a00e8e93 +01800193 +09df1663 +00000213 +00b00137 +00000013 +00000013 +00f000b7 +0220af33 +00120213 +00200293 +fe5212e3 +0000aeb7 +500e8e93 +01900193 +05df1c63 +7c0000b7 +02102133 +00000e93 +01a00193 +05d11263 +800000b7 +0200a133 +00000e93 +01b00193 +03d11863 +020020b3 +00000e93 +01c00193 +03d09063 +021000b7 +02200137 +0220a033 +00000e93 +01d00193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32um-p-mulhsu.verilog b/tests/isa/generated/rv32um-p-mulhsu.verilog old mode 100644 new mode 100755 index bf2aad0..8e2c668 --- a/tests/isa/generated/rv32um-p-mulhsu.verilog +++ b/tests/isa/generated/rv32um-p-mulhsu.verilog @@ -1,88 +1,88 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 -33 AF 20 02 93 0E 00 00 93 01 20 00 63 1A DF 4B -93 00 10 00 13 01 10 00 33 AF 20 02 93 0E 00 00 -93 01 30 00 63 1E DF 49 93 00 30 00 13 01 70 00 -33 AF 20 02 93 0E 00 00 93 01 40 00 63 12 DF 49 -93 00 00 00 37 81 FF FF 33 AF 20 02 93 0E 00 00 -93 01 50 00 63 16 DF 47 B7 00 00 80 13 01 00 00 -33 AF 20 02 93 0E 00 00 93 01 60 00 63 1A DF 45 -B7 00 00 80 37 81 FF FF 33 AF 20 02 B7 4E 00 80 -93 01 70 00 63 1E DF 43 B7 B0 AA AA 93 80 B0 AA -37 01 03 00 13 01 D1 E7 33 AF 20 02 B7 0E FF FF -93 8E 1E 08 93 01 E0 01 63 1C DF 41 B7 00 03 00 -93 80 D0 E7 37 B1 AA AA 13 01 B1 AA 33 AF 20 02 -B7 0E 02 00 93 8E EE EF 93 01 F0 01 63 1A DF 3F -B7 00 00 FF 37 01 00 FF 33 AF 20 02 B7 0E 01 FF -93 01 00 02 63 1E DF 3D 93 00 F0 FF 13 01 F0 FF -33 AF 20 02 93 0E F0 FF 93 01 10 02 63 12 DF 3D -93 00 F0 FF 13 01 10 00 33 AF 20 02 93 0E F0 FF -93 01 20 02 63 16 DF 3B 93 00 10 00 13 01 F0 FF -33 AF 20 02 93 0E 00 00 93 01 30 02 63 1A DF 39 -B7 00 D0 00 37 01 B0 00 B3 A0 20 02 B7 9E 00 00 -93 8E 0E F0 93 01 80 00 63 9C D0 37 B7 00 E0 00 -37 01 B0 00 33 A1 20 02 B7 AE 00 00 93 8E 0E A0 -93 01 90 00 63 1E D1 35 B7 00 D0 00 B3 A0 10 02 -B7 BE 00 00 93 8E 0E 90 93 01 A0 00 63 92 D0 35 -13 02 00 00 B7 00 D0 00 37 01 B0 00 33 AF 20 02 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 9E 00 00 93 8E 0E F0 93 01 B0 00 63 1A D3 31 -13 02 00 00 B7 00 E0 00 37 01 B0 00 33 AF 20 02 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 AE 00 00 93 8E 0E A0 93 01 C0 00 -63 10 D3 2F 13 02 00 00 B7 00 F0 00 37 01 B0 00 -33 AF 20 02 13 00 00 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 10 52 FE B7 AE 00 00 -93 8E 0E 50 93 01 D0 00 63 14 D3 2B 13 02 00 00 -B7 00 D0 00 37 01 B0 00 33 AF 20 02 13 02 12 00 -93 02 20 00 E3 16 52 FE B7 9E 00 00 93 8E 0E F0 -93 01 E0 00 63 1E DF 27 13 02 00 00 B7 00 E0 00 -37 01 B0 00 13 00 00 00 33 AF 20 02 13 02 12 00 -93 02 20 00 E3 14 52 FE B7 AE 00 00 93 8E 0E A0 -93 01 F0 00 63 16 DF 25 13 02 00 00 B7 00 F0 00 -37 01 B0 00 13 00 00 00 13 00 00 00 33 AF 20 02 -13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 -93 8E 0E 50 93 01 00 01 63 1C DF 21 13 02 00 00 -B7 00 D0 00 13 00 00 00 37 01 B0 00 33 AF 20 02 -13 02 12 00 93 02 20 00 E3 14 52 FE B7 9E 00 00 -93 8E 0E F0 93 01 10 01 63 14 DF 1F 13 02 00 00 -B7 00 E0 00 13 00 00 00 37 01 B0 00 13 00 00 00 -33 AF 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 AE 00 00 93 8E 0E A0 93 01 20 01 63 1A DF 1B -13 02 00 00 B7 00 F0 00 13 00 00 00 13 00 00 00 -37 01 B0 00 33 AF 20 02 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 30 01 -63 10 DF 19 13 02 00 00 37 01 B0 00 B7 00 D0 00 -33 AF 20 02 13 02 12 00 93 02 20 00 E3 16 52 FE -B7 9E 00 00 93 8E 0E F0 93 01 40 01 63 1A DF 15 -13 02 00 00 37 01 B0 00 B7 00 E0 00 13 00 00 00 -33 AF 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 AE 00 00 93 8E 0E A0 93 01 50 01 63 12 DF 13 -13 02 00 00 37 01 B0 00 B7 00 F0 00 13 00 00 00 -13 00 00 00 33 AF 20 02 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 60 01 -63 18 DF 0F 13 02 00 00 37 01 B0 00 13 00 00 00 -B7 00 D0 00 33 AF 20 02 13 02 12 00 93 02 20 00 -E3 14 52 FE B7 9E 00 00 93 8E 0E F0 93 01 70 01 -63 10 DF 0D 13 02 00 00 37 01 B0 00 13 00 00 00 -B7 00 E0 00 13 00 00 00 33 AF 20 02 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 AE 00 00 93 8E 0E A0 -93 01 80 01 63 16 DF 09 13 02 00 00 37 01 B0 00 -13 00 00 00 13 00 00 00 B7 00 F0 00 33 AF 20 02 -13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 -93 8E 0E 50 93 01 90 01 63 1C DF 05 B7 00 00 7C -33 21 10 02 93 0E 00 00 93 01 A0 01 63 12 D1 05 -B7 00 00 80 33 A1 00 02 93 0E 00 00 93 01 B0 01 -63 18 D1 03 B3 20 00 02 93 0E 00 00 93 01 C0 01 -63 90 D0 03 B7 00 10 02 37 01 20 02 33 A0 20 02 -93 0E 00 00 93 01 D0 01 63 14 D0 01 63 18 30 00 -13 0D 10 00 93 0D 00 00 6F 00 00 00 13 0D 10 00 -93 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000540 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 +33 AF 20 02 93 0E 00 00 93 01 20 00 63 1A DF 4B +93 00 10 00 13 01 10 00 33 AF 20 02 93 0E 00 00 +93 01 30 00 63 1E DF 49 93 00 30 00 13 01 70 00 +33 AF 20 02 93 0E 00 00 93 01 40 00 63 12 DF 49 +93 00 00 00 37 81 FF FF 33 AF 20 02 93 0E 00 00 +93 01 50 00 63 16 DF 47 B7 00 00 80 13 01 00 00 +33 AF 20 02 93 0E 00 00 93 01 60 00 63 1A DF 45 +B7 00 00 80 37 81 FF FF 33 AF 20 02 B7 4E 00 80 +93 01 70 00 63 1E DF 43 B7 B0 AA AA 93 80 B0 AA +37 01 03 00 13 01 D1 E7 33 AF 20 02 B7 0E FF FF +93 8E 1E 08 93 01 E0 01 63 1C DF 41 B7 00 03 00 +93 80 D0 E7 37 B1 AA AA 13 01 B1 AA 33 AF 20 02 +B7 0E 02 00 93 8E EE EF 93 01 F0 01 63 1A DF 3F +B7 00 00 FF 37 01 00 FF 33 AF 20 02 B7 0E 01 FF +93 01 00 02 63 1E DF 3D 93 00 F0 FF 13 01 F0 FF +33 AF 20 02 93 0E F0 FF 93 01 10 02 63 12 DF 3D +93 00 F0 FF 13 01 10 00 33 AF 20 02 93 0E F0 FF +93 01 20 02 63 16 DF 3B 93 00 10 00 13 01 F0 FF +33 AF 20 02 93 0E 00 00 93 01 30 02 63 1A DF 39 +B7 00 D0 00 37 01 B0 00 B3 A0 20 02 B7 9E 00 00 +93 8E 0E F0 93 01 80 00 63 9C D0 37 B7 00 E0 00 +37 01 B0 00 33 A1 20 02 B7 AE 00 00 93 8E 0E A0 +93 01 90 00 63 1E D1 35 B7 00 D0 00 B3 A0 10 02 +B7 BE 00 00 93 8E 0E 90 93 01 A0 00 63 92 D0 35 +13 02 00 00 B7 00 D0 00 37 01 B0 00 33 AF 20 02 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE +B7 9E 00 00 93 8E 0E F0 93 01 B0 00 63 1A D3 31 +13 02 00 00 B7 00 E0 00 37 01 B0 00 33 AF 20 02 +13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 AE 00 00 93 8E 0E A0 93 01 C0 00 +63 10 D3 2F 13 02 00 00 B7 00 F0 00 37 01 B0 00 +33 AF 20 02 13 00 00 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 10 52 FE B7 AE 00 00 +93 8E 0E 50 93 01 D0 00 63 14 D3 2B 13 02 00 00 +B7 00 D0 00 37 01 B0 00 33 AF 20 02 13 02 12 00 +93 02 20 00 E3 16 52 FE B7 9E 00 00 93 8E 0E F0 +93 01 E0 00 63 1E DF 27 13 02 00 00 B7 00 E0 00 +37 01 B0 00 13 00 00 00 33 AF 20 02 13 02 12 00 +93 02 20 00 E3 14 52 FE B7 AE 00 00 93 8E 0E A0 +93 01 F0 00 63 16 DF 25 13 02 00 00 B7 00 F0 00 +37 01 B0 00 13 00 00 00 13 00 00 00 33 AF 20 02 +13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 +93 8E 0E 50 93 01 00 01 63 1C DF 21 13 02 00 00 +B7 00 D0 00 13 00 00 00 37 01 B0 00 33 AF 20 02 +13 02 12 00 93 02 20 00 E3 14 52 FE B7 9E 00 00 +93 8E 0E F0 93 01 10 01 63 14 DF 1F 13 02 00 00 +B7 00 E0 00 13 00 00 00 37 01 B0 00 13 00 00 00 +33 AF 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 AE 00 00 93 8E 0E A0 93 01 20 01 63 1A DF 1B +13 02 00 00 B7 00 F0 00 13 00 00 00 13 00 00 00 +37 01 B0 00 33 AF 20 02 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 30 01 +63 10 DF 19 13 02 00 00 37 01 B0 00 B7 00 D0 00 +33 AF 20 02 13 02 12 00 93 02 20 00 E3 16 52 FE +B7 9E 00 00 93 8E 0E F0 93 01 40 01 63 1A DF 15 +13 02 00 00 37 01 B0 00 B7 00 E0 00 13 00 00 00 +33 AF 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE +B7 AE 00 00 93 8E 0E A0 93 01 50 01 63 12 DF 13 +13 02 00 00 37 01 B0 00 B7 00 F0 00 13 00 00 00 +13 00 00 00 33 AF 20 02 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 60 01 +63 18 DF 0F 13 02 00 00 37 01 B0 00 13 00 00 00 +B7 00 D0 00 33 AF 20 02 13 02 12 00 93 02 20 00 +E3 14 52 FE B7 9E 00 00 93 8E 0E F0 93 01 70 01 +63 10 DF 0D 13 02 00 00 37 01 B0 00 13 00 00 00 +B7 00 E0 00 13 00 00 00 33 AF 20 02 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 AE 00 00 93 8E 0E A0 +93 01 80 01 63 16 DF 09 13 02 00 00 37 01 B0 00 +13 00 00 00 13 00 00 00 B7 00 F0 00 33 AF 20 02 +13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 +93 8E 0E 50 93 01 90 01 63 1C DF 05 B7 00 00 7C +33 21 10 02 93 0E 00 00 93 01 A0 01 63 12 D1 05 +B7 00 00 80 33 A1 00 02 93 0E 00 00 93 01 B0 01 +63 18 D1 03 B3 20 00 02 93 0E 00 00 93 01 C0 01 +63 90 D0 03 B7 00 10 02 37 01 20 02 33 A0 20 02 +93 0E 00 00 93 01 D0 01 63 14 D0 01 63 18 30 00 +93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 +13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000540 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32um-p-mulhu b/tests/isa/generated/rv32um-p-mulhu old mode 100644 new mode 100755 index 15e9caac16939dafd31f12275495f2f01cb7b678..9dd9444000391fb40bc92b668a3e68c239d95944 GIT binary patch delta 1001 zcmZA0KWGzi6u|NKOH%(p3s-W*ctcF-5~xi}BB7H+gyNu5L_}z@q`}e*r6oCoLm?R) zGB~81gM)4X9UNNh;LyQAaB=9+!J&gf#x8{j4uXE~lDj;7@cX^@dHLmj`Cabzi~V9J zlU#Kqh#Qg-BU!m97Y+(+>LcYP>L1GYseda!qPC(_7c!58DYQg8$#h8O5Dp!*?I=D*wS9_i5c-%MVgPK7LI#%w#Tj zO>$+|tyR~bv0|@0>uoyA(>eCw&h3Pox2Hs|g&-U6z_~K_p033*f5%yde>DFzml@H0 Xr~Z@@S@(W9GbxAHq(mo-@O=LO)i7IS delta 898 zcmYk*JxBs!7zgm@HH{h~MT67=qopqbDI^<8Df%Fb2BV-`E(wCZL<57O6ABVqtXpbm z2!d!UY6%<)TH0zWXh>)YS_Frh>VKzqJ`ec5|KD@3yzkv{#lTe{>vM)Yq*pKbNKhM9 zlkK|a)a7v2*-5P2v2pk26)`2Y?v zAHkAo-2Vw0n`T4M8JuOlgzuTJ;Ue=L+-1R_m!JpCbIeb0hWQ1~8CLL!-q83q8gL%= zRbkLe&==+?a{*2>|H4_J_V?)Wd>P1@1`K$b b+W9+Fx7TaSG!pHrL2s+A9$#FyDtn#(E*Mog diff --git a/tests/isa/generated/rv32um-p-mulhu.bin b/tests/isa/generated/rv32um-p-mulhu.bin old mode 100644 new mode 100755 index 5da16d53ab8e39f465f262f215d5d53a16dbb358..8b98a1b53b57c38f89c52530ed5af746087ec202 GIT binary patch delta 29 jcmeC+?%>{VfkkjKF9U-xuK+_n0|UckAT2!kA{VfkjZ5SAbzMF9Sn90|Nt?J^3NaasXti2HgMv diff --git a/tests/isa/generated/rv32um-p-mulhu.dump b/tests/isa/generated/rv32um-p-mulhu.dump index 43186a3..78005aa 100644 --- a/tests/isa/generated/rv32um-p-mulhu.dump +++ b/tests/isa/generated/rv32um-p-mulhu.dump @@ -383,15 +383,15 @@ Disassembly of section .text.init: 4cc: 00301863 bne zero,gp,4dc 000004d0 : - 4d0: 00100d13 li s10,1 - 4d4: 00000d93 li s11,0 + 4d0: 00000d93 li s11,0 + 4d4: 00100d13 li s10,1 000004d8 : 4d8: 0000006f j 4d8 000004dc : - 4dc: 00100d13 li s10,1 - 4e0: 00100d93 li s11,1 + 4dc: 00100d93 li s11,1 + 4e0: 00100d13 li s10,1 000004e4 : 4e4: 0000006f j 4e4 @@ -404,3 +404,22 @@ Disassembly of section .tohost: 00000580 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1e41 addi t3,t3,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0014 0x14 + e: 0000 unimp + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 5f30 lw a2,120(a4) + 1a: 326d jal fffff9c4 + 1c: 3070 fld fa2,224(s0) + ... diff --git a/tests/isa/generated/rv32um-p-mulhu.mem b/tests/isa/generated/rv32um-p-mulhu.mem new file mode 100644 index 0000000..a1c0f30 --- /dev/null +++ b/tests/isa/generated/rv32um-p-mulhu.mem @@ -0,0 +1,354 @@ +00000d13 +00000d93 +00000093 +00000113 +0220bf33 +00000e93 +00200193 +4bdf1a63 +00100093 +00100113 +0220bf33 +00000e93 +00300193 +49df1e63 +00300093 +00700113 +0220bf33 +00000e93 +00400193 +49df1263 +00000093 +ffff8137 +0220bf33 +00000e93 +00500193 +47df1663 +800000b7 +00000113 +0220bf33 +00000e93 +00600193 +45df1a63 +800000b7 +ffff8137 +0220bf33 +7fffceb7 +00700193 +43df1e63 +aaaab0b7 +aab08093 +00030137 +e7d10113 +0220bf33 +00020eb7 +efee8e93 +01e00193 +41df1c63 +000300b7 +e7d08093 +aaaab137 +aab10113 +0220bf33 +00020eb7 +efee8e93 +01f00193 +3fdf1a63 +ff0000b7 +ff000137 +0220bf33 +fe010eb7 +02000193 +3ddf1e63 +fff00093 +fff00113 +0220bf33 +ffe00e93 +02100193 +3ddf1263 +fff00093 +00100113 +0220bf33 +00000e93 +02200193 +3bdf1663 +00100093 +fff00113 +0220bf33 +00000e93 +02300193 +39df1a63 +00d000b7 +00b00137 +0220b0b3 +00009eb7 +f00e8e93 +00800193 +37d09c63 +00e000b7 +00b00137 +0220b133 +0000aeb7 +a00e8e93 +00900193 +35d11e63 +00d000b7 +0210b0b3 +0000beb7 +900e8e93 +00a00193 +35d09263 +00000213 +00d000b7 +00b00137 +0220bf33 +000f0313 +00120213 +00200293 +fe5214e3 +00009eb7 +f00e8e93 +00b00193 +31d31a63 +00000213 +00e000b7 +00b00137 +0220bf33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +0000aeb7 +a00e8e93 +00c00193 +2fd31063 +00000213 +00f000b7 +00b00137 +0220bf33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +0000aeb7 +500e8e93 +00d00193 +2bd31463 +00000213 +00d000b7 +00b00137 +0220bf33 +00120213 +00200293 +fe5216e3 +00009eb7 +f00e8e93 +00e00193 +27df1e63 +00000213 +00e000b7 +00b00137 +00000013 +0220bf33 +00120213 +00200293 +fe5214e3 +0000aeb7 +a00e8e93 +00f00193 +25df1663 +00000213 +00f000b7 +00b00137 +00000013 +00000013 +0220bf33 +00120213 +00200293 +fe5212e3 +0000aeb7 +500e8e93 +01000193 +21df1c63 +00000213 +00d000b7 +00000013 +00b00137 +0220bf33 +00120213 +00200293 +fe5214e3 +00009eb7 +f00e8e93 +01100193 +1fdf1463 +00000213 +00e000b7 +00000013 +00b00137 +00000013 +0220bf33 +00120213 +00200293 +fe5212e3 +0000aeb7 +a00e8e93 +01200193 +1bdf1a63 +00000213 +00f000b7 +00000013 +00000013 +00b00137 +0220bf33 +00120213 +00200293 +fe5212e3 +0000aeb7 +500e8e93 +01300193 +19df1063 +00000213 +00b00137 +00d000b7 +0220bf33 +00120213 +00200293 +fe5216e3 +00009eb7 +f00e8e93 +01400193 +15df1a63 +00000213 +00b00137 +00e000b7 +00000013 +0220bf33 +00120213 +00200293 +fe5214e3 +0000aeb7 +a00e8e93 +01500193 +13df1263 +00000213 +00b00137 +00f000b7 +00000013 +00000013 +0220bf33 +00120213 +00200293 +fe5212e3 +0000aeb7 +500e8e93 +01600193 +0fdf1863 +00000213 +00b00137 +00000013 +00d000b7 +0220bf33 +00120213 +00200293 +fe5214e3 +00009eb7 +f00e8e93 +01700193 +0ddf1063 +00000213 +00b00137 +00000013 +00e000b7 +00000013 +0220bf33 +00120213 +00200293 +fe5212e3 +0000aeb7 +a00e8e93 +01800193 +09df1663 +00000213 +00b00137 +00000013 +00000013 +00f000b7 +0220bf33 +00120213 +00200293 +fe5212e3 +0000aeb7 +500e8e93 +01900193 +05df1c63 +7c0000b7 +02103133 +00000e93 +01a00193 +05d11263 +800000b7 +0200b133 +00000e93 +01b00193 +03d11863 +020030b3 +00000e93 +01c00193 +03d09063 +021000b7 +02200137 +0220b033 +00000e93 +01d00193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32um-p-mulhu.verilog b/tests/isa/generated/rv32um-p-mulhu.verilog old mode 100644 new mode 100755 index 022c67c..47b4e4b --- a/tests/isa/generated/rv32um-p-mulhu.verilog +++ b/tests/isa/generated/rv32um-p-mulhu.verilog @@ -1,88 +1,88 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 -33 BF 20 02 93 0E 00 00 93 01 20 00 63 1A DF 4B -93 00 10 00 13 01 10 00 33 BF 20 02 93 0E 00 00 -93 01 30 00 63 1E DF 49 93 00 30 00 13 01 70 00 -33 BF 20 02 93 0E 00 00 93 01 40 00 63 12 DF 49 -93 00 00 00 37 81 FF FF 33 BF 20 02 93 0E 00 00 -93 01 50 00 63 16 DF 47 B7 00 00 80 13 01 00 00 -33 BF 20 02 93 0E 00 00 93 01 60 00 63 1A DF 45 -B7 00 00 80 37 81 FF FF 33 BF 20 02 B7 CE FF 7F -93 01 70 00 63 1E DF 43 B7 B0 AA AA 93 80 B0 AA -37 01 03 00 13 01 D1 E7 33 BF 20 02 B7 0E 02 00 -93 8E EE EF 93 01 E0 01 63 1C DF 41 B7 00 03 00 -93 80 D0 E7 37 B1 AA AA 13 01 B1 AA 33 BF 20 02 -B7 0E 02 00 93 8E EE EF 93 01 F0 01 63 1A DF 3F -B7 00 00 FF 37 01 00 FF 33 BF 20 02 B7 0E 01 FE -93 01 00 02 63 1E DF 3D 93 00 F0 FF 13 01 F0 FF -33 BF 20 02 93 0E E0 FF 93 01 10 02 63 12 DF 3D -93 00 F0 FF 13 01 10 00 33 BF 20 02 93 0E 00 00 -93 01 20 02 63 16 DF 3B 93 00 10 00 13 01 F0 FF -33 BF 20 02 93 0E 00 00 93 01 30 02 63 1A DF 39 -B7 00 D0 00 37 01 B0 00 B3 B0 20 02 B7 9E 00 00 -93 8E 0E F0 93 01 80 00 63 9C D0 37 B7 00 E0 00 -37 01 B0 00 33 B1 20 02 B7 AE 00 00 93 8E 0E A0 -93 01 90 00 63 1E D1 35 B7 00 D0 00 B3 B0 10 02 -B7 BE 00 00 93 8E 0E 90 93 01 A0 00 63 92 D0 35 -13 02 00 00 B7 00 D0 00 37 01 B0 00 33 BF 20 02 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 9E 00 00 93 8E 0E F0 93 01 B0 00 63 1A D3 31 -13 02 00 00 B7 00 E0 00 37 01 B0 00 33 BF 20 02 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 AE 00 00 93 8E 0E A0 93 01 C0 00 -63 10 D3 2F 13 02 00 00 B7 00 F0 00 37 01 B0 00 -33 BF 20 02 13 00 00 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 10 52 FE B7 AE 00 00 -93 8E 0E 50 93 01 D0 00 63 14 D3 2B 13 02 00 00 -B7 00 D0 00 37 01 B0 00 33 BF 20 02 13 02 12 00 -93 02 20 00 E3 16 52 FE B7 9E 00 00 93 8E 0E F0 -93 01 E0 00 63 1E DF 27 13 02 00 00 B7 00 E0 00 -37 01 B0 00 13 00 00 00 33 BF 20 02 13 02 12 00 -93 02 20 00 E3 14 52 FE B7 AE 00 00 93 8E 0E A0 -93 01 F0 00 63 16 DF 25 13 02 00 00 B7 00 F0 00 -37 01 B0 00 13 00 00 00 13 00 00 00 33 BF 20 02 -13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 -93 8E 0E 50 93 01 00 01 63 1C DF 21 13 02 00 00 -B7 00 D0 00 13 00 00 00 37 01 B0 00 33 BF 20 02 -13 02 12 00 93 02 20 00 E3 14 52 FE B7 9E 00 00 -93 8E 0E F0 93 01 10 01 63 14 DF 1F 13 02 00 00 -B7 00 E0 00 13 00 00 00 37 01 B0 00 13 00 00 00 -33 BF 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 AE 00 00 93 8E 0E A0 93 01 20 01 63 1A DF 1B -13 02 00 00 B7 00 F0 00 13 00 00 00 13 00 00 00 -37 01 B0 00 33 BF 20 02 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 30 01 -63 10 DF 19 13 02 00 00 37 01 B0 00 B7 00 D0 00 -33 BF 20 02 13 02 12 00 93 02 20 00 E3 16 52 FE -B7 9E 00 00 93 8E 0E F0 93 01 40 01 63 1A DF 15 -13 02 00 00 37 01 B0 00 B7 00 E0 00 13 00 00 00 -33 BF 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 AE 00 00 93 8E 0E A0 93 01 50 01 63 12 DF 13 -13 02 00 00 37 01 B0 00 B7 00 F0 00 13 00 00 00 -13 00 00 00 33 BF 20 02 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 60 01 -63 18 DF 0F 13 02 00 00 37 01 B0 00 13 00 00 00 -B7 00 D0 00 33 BF 20 02 13 02 12 00 93 02 20 00 -E3 14 52 FE B7 9E 00 00 93 8E 0E F0 93 01 70 01 -63 10 DF 0D 13 02 00 00 37 01 B0 00 13 00 00 00 -B7 00 E0 00 13 00 00 00 33 BF 20 02 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 AE 00 00 93 8E 0E A0 -93 01 80 01 63 16 DF 09 13 02 00 00 37 01 B0 00 -13 00 00 00 13 00 00 00 B7 00 F0 00 33 BF 20 02 -13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 -93 8E 0E 50 93 01 90 01 63 1C DF 05 B7 00 00 7C -33 31 10 02 93 0E 00 00 93 01 A0 01 63 12 D1 05 -B7 00 00 80 33 B1 00 02 93 0E 00 00 93 01 B0 01 -63 18 D1 03 B3 30 00 02 93 0E 00 00 93 01 C0 01 -63 90 D0 03 B7 00 10 02 37 01 20 02 33 B0 20 02 -93 0E 00 00 93 01 D0 01 63 14 D0 01 63 18 30 00 -13 0D 10 00 93 0D 00 00 6F 00 00 00 13 0D 10 00 -93 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000540 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 +33 BF 20 02 93 0E 00 00 93 01 20 00 63 1A DF 4B +93 00 10 00 13 01 10 00 33 BF 20 02 93 0E 00 00 +93 01 30 00 63 1E DF 49 93 00 30 00 13 01 70 00 +33 BF 20 02 93 0E 00 00 93 01 40 00 63 12 DF 49 +93 00 00 00 37 81 FF FF 33 BF 20 02 93 0E 00 00 +93 01 50 00 63 16 DF 47 B7 00 00 80 13 01 00 00 +33 BF 20 02 93 0E 00 00 93 01 60 00 63 1A DF 45 +B7 00 00 80 37 81 FF FF 33 BF 20 02 B7 CE FF 7F +93 01 70 00 63 1E DF 43 B7 B0 AA AA 93 80 B0 AA +37 01 03 00 13 01 D1 E7 33 BF 20 02 B7 0E 02 00 +93 8E EE EF 93 01 E0 01 63 1C DF 41 B7 00 03 00 +93 80 D0 E7 37 B1 AA AA 13 01 B1 AA 33 BF 20 02 +B7 0E 02 00 93 8E EE EF 93 01 F0 01 63 1A DF 3F +B7 00 00 FF 37 01 00 FF 33 BF 20 02 B7 0E 01 FE +93 01 00 02 63 1E DF 3D 93 00 F0 FF 13 01 F0 FF +33 BF 20 02 93 0E E0 FF 93 01 10 02 63 12 DF 3D +93 00 F0 FF 13 01 10 00 33 BF 20 02 93 0E 00 00 +93 01 20 02 63 16 DF 3B 93 00 10 00 13 01 F0 FF +33 BF 20 02 93 0E 00 00 93 01 30 02 63 1A DF 39 +B7 00 D0 00 37 01 B0 00 B3 B0 20 02 B7 9E 00 00 +93 8E 0E F0 93 01 80 00 63 9C D0 37 B7 00 E0 00 +37 01 B0 00 33 B1 20 02 B7 AE 00 00 93 8E 0E A0 +93 01 90 00 63 1E D1 35 B7 00 D0 00 B3 B0 10 02 +B7 BE 00 00 93 8E 0E 90 93 01 A0 00 63 92 D0 35 +13 02 00 00 B7 00 D0 00 37 01 B0 00 33 BF 20 02 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE +B7 9E 00 00 93 8E 0E F0 93 01 B0 00 63 1A D3 31 +13 02 00 00 B7 00 E0 00 37 01 B0 00 33 BF 20 02 +13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 AE 00 00 93 8E 0E A0 93 01 C0 00 +63 10 D3 2F 13 02 00 00 B7 00 F0 00 37 01 B0 00 +33 BF 20 02 13 00 00 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 10 52 FE B7 AE 00 00 +93 8E 0E 50 93 01 D0 00 63 14 D3 2B 13 02 00 00 +B7 00 D0 00 37 01 B0 00 33 BF 20 02 13 02 12 00 +93 02 20 00 E3 16 52 FE B7 9E 00 00 93 8E 0E F0 +93 01 E0 00 63 1E DF 27 13 02 00 00 B7 00 E0 00 +37 01 B0 00 13 00 00 00 33 BF 20 02 13 02 12 00 +93 02 20 00 E3 14 52 FE B7 AE 00 00 93 8E 0E A0 +93 01 F0 00 63 16 DF 25 13 02 00 00 B7 00 F0 00 +37 01 B0 00 13 00 00 00 13 00 00 00 33 BF 20 02 +13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 +93 8E 0E 50 93 01 00 01 63 1C DF 21 13 02 00 00 +B7 00 D0 00 13 00 00 00 37 01 B0 00 33 BF 20 02 +13 02 12 00 93 02 20 00 E3 14 52 FE B7 9E 00 00 +93 8E 0E F0 93 01 10 01 63 14 DF 1F 13 02 00 00 +B7 00 E0 00 13 00 00 00 37 01 B0 00 13 00 00 00 +33 BF 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 AE 00 00 93 8E 0E A0 93 01 20 01 63 1A DF 1B +13 02 00 00 B7 00 F0 00 13 00 00 00 13 00 00 00 +37 01 B0 00 33 BF 20 02 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 30 01 +63 10 DF 19 13 02 00 00 37 01 B0 00 B7 00 D0 00 +33 BF 20 02 13 02 12 00 93 02 20 00 E3 16 52 FE +B7 9E 00 00 93 8E 0E F0 93 01 40 01 63 1A DF 15 +13 02 00 00 37 01 B0 00 B7 00 E0 00 13 00 00 00 +33 BF 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE +B7 AE 00 00 93 8E 0E A0 93 01 50 01 63 12 DF 13 +13 02 00 00 37 01 B0 00 B7 00 F0 00 13 00 00 00 +13 00 00 00 33 BF 20 02 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 60 01 +63 18 DF 0F 13 02 00 00 37 01 B0 00 13 00 00 00 +B7 00 D0 00 33 BF 20 02 13 02 12 00 93 02 20 00 +E3 14 52 FE B7 9E 00 00 93 8E 0E F0 93 01 70 01 +63 10 DF 0D 13 02 00 00 37 01 B0 00 13 00 00 00 +B7 00 E0 00 13 00 00 00 33 BF 20 02 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 AE 00 00 93 8E 0E A0 +93 01 80 01 63 16 DF 09 13 02 00 00 37 01 B0 00 +13 00 00 00 13 00 00 00 B7 00 F0 00 33 BF 20 02 +13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 +93 8E 0E 50 93 01 90 01 63 1C DF 05 B7 00 00 7C +33 31 10 02 93 0E 00 00 93 01 A0 01 63 12 D1 05 +B7 00 00 80 33 B1 00 02 93 0E 00 00 93 01 B0 01 +63 18 D1 03 B3 30 00 02 93 0E 00 00 93 01 C0 01 +63 90 D0 03 B7 00 10 02 37 01 20 02 33 B0 20 02 +93 0E 00 00 93 01 D0 01 63 14 D0 01 63 18 30 00 +93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 +13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000540 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32um-p-rem b/tests/isa/generated/rv32um-p-rem old mode 100644 new mode 100755 index 82b1da5362c74b353666f587bcdee99c5a0effeb..22e6adb5e1961b58494bce0453b5495bb5183783 GIT binary patch delta 579 zcmcbiIY(=Pf-s8+0~nYvC@?TGXfUudux&KnC?GnSmw`c;SAZcOC_5QQgV_@k`{f+v zfFebi#mQw1j3B+NMP0)wm{-50@(pbd<`Hw1HrFn&CdM+4a(koZ18_8TOA7?Aw~iJt&s3qS+}7^0aN@=yer80sK$U{U}W9<4xD2Q*j& z7?>Ekfcyp|{$wEE0g2C$oE&K$78&6;SxtDqpdL5|^b$)-iZYW*OHzv`CTdR>5D9SA z18QStU?>1mV9+7Rz#tFf0BNX+V44X@d<9S)$a+?wn9$@}5ouNpU>wYvJXb_n;t-JK JfTR{?1^~j+IadGx delta 496 zcmbQEbwhK4g76Dr1~4#TP+(wW&|qL=VBKiEQ9x9fSAbzMF9Sn9P!_@#V91}CxO(yt zL6Le!h#oM>!tn9GA&|uZ7h_~71G1kW@hyOC1th*bkPQ-p07eEkAR_?A1v|g`A;6FXWN$#?X9C$LkoXNi_6H<>A&~tBiC+z5|3Komg4hBO0RaXk zhRG=WdM1X&5IHa@0Ce#xAgco!EFcZ*f&2y}{th7D0g2DxY!wq)oLW>I$xI|y%>n4~>fM4Q5YfWNe=-z{mjrkzNM& delta 36 ncmeBR?qHtqL{ykpfMGH(14BLo0|SIDz>q(gk+FTU03!zgjh+Vf diff --git a/tests/isa/generated/rv32um-p-rem.dump b/tests/isa/generated/rv32um-p-rem.dump index 3b3239a..fb6fa0e 100644 --- a/tests/isa/generated/rv32um-p-rem.dump +++ b/tests/isa/generated/rv32um-p-rem.dump @@ -82,15 +82,15 @@ Disassembly of section .text.init: e0: 00301863 bne zero,gp,f0 000000e4 : - e4: 00100d13 li s10,1 - e8: 00000d93 li s11,0 + e4: 00000d93 li s11,0 + e8: 00100d13 li s10,1 000000ec : ec: 0000006f j ec 000000f0 : - f0: 00100d13 li s10,1 - f4: 00100d93 li s11,1 + f0: 00100d93 li s11,1 + f4: 00100d13 li s10,1 000000f8 : f8: 0000006f j f8 @@ -103,3 +103,22 @@ Disassembly of section .tohost: 00000180 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1e41 addi t3,t3,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0014 0x14 + e: 0000 unimp + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 5f30 lw a2,120(a4) + 1a: 326d jal fffff9c4 + 1c: 3070 fld fa2,224(s0) + ... diff --git a/tests/isa/generated/rv32um-p-rem.mem b/tests/isa/generated/rv32um-p-rem.mem new file mode 100644 index 0000000..6fd43b2 --- /dev/null +++ b/tests/isa/generated/rv32um-p-rem.mem @@ -0,0 +1,98 @@ +00000d13 +00000d93 +01400093 +00600113 +0220ef33 +00200e93 +00200193 +0ddf1463 +fec00093 +00600113 +0220ef33 +ffe00e93 +00300193 +0bdf1863 +01400093 +ffa00113 +0220ef33 +00200e93 +00400193 +09df1c63 +fec00093 +ffa00113 +0220ef33 +ffe00e93 +00500193 +09df1063 +00000093 +00100113 +0220ef33 +00000e93 +00600193 +07df1463 +00000093 +fff00113 +0220ef33 +00000e93 +00700193 +05df1863 +00000093 +00000113 +0220ef33 +00000e93 +00800193 +03df1c63 +00100093 +00000113 +0220ef33 +00100e93 +00900193 +03df1063 +00000093 +00000113 +0220ef33 +00000e93 +00a00193 +01df1463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32um-p-rem.verilog b/tests/isa/generated/rv32um-p-rem.verilog old mode 100644 new mode 100755 index d458ac2..4b3fba4 --- a/tests/isa/generated/rv32um-p-rem.verilog +++ b/tests/isa/generated/rv32um-p-rem.verilog @@ -1,24 +1,24 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 40 01 13 01 60 00 -33 EF 20 02 93 0E 20 00 93 01 20 00 63 14 DF 0D -93 00 C0 FE 13 01 60 00 33 EF 20 02 93 0E E0 FF -93 01 30 00 63 18 DF 0B 93 00 40 01 13 01 A0 FF -33 EF 20 02 93 0E 20 00 93 01 40 00 63 1C DF 09 -93 00 C0 FE 13 01 A0 FF 33 EF 20 02 93 0E E0 FF -93 01 50 00 63 10 DF 09 93 00 00 00 13 01 10 00 -33 EF 20 02 93 0E 00 00 93 01 60 00 63 14 DF 07 -93 00 00 00 13 01 F0 FF 33 EF 20 02 93 0E 00 00 -93 01 70 00 63 18 DF 05 93 00 00 00 13 01 00 00 -33 EF 20 02 93 0E 00 00 93 01 80 00 63 1C DF 03 -93 00 10 00 13 01 00 00 33 EF 20 02 93 0E 10 00 -93 01 90 00 63 10 DF 03 93 00 00 00 13 01 00 00 -33 EF 20 02 93 0E 00 00 93 01 A0 00 63 14 DF 01 -63 18 30 00 13 0D 10 00 93 0D 00 00 6F 00 00 00 -13 0D 10 00 93 0D 10 00 6F 00 00 00 00 00 00 00 -00 00 00 00 -@00000140 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 40 01 13 01 60 00 +33 EF 20 02 93 0E 20 00 93 01 20 00 63 14 DF 0D +93 00 C0 FE 13 01 60 00 33 EF 20 02 93 0E E0 FF +93 01 30 00 63 18 DF 0B 93 00 40 01 13 01 A0 FF +33 EF 20 02 93 0E 20 00 93 01 40 00 63 1C DF 09 +93 00 C0 FE 13 01 A0 FF 33 EF 20 02 93 0E E0 FF +93 01 50 00 63 10 DF 09 93 00 00 00 13 01 10 00 +33 EF 20 02 93 0E 00 00 93 01 60 00 63 14 DF 07 +93 00 00 00 13 01 F0 FF 33 EF 20 02 93 0E 00 00 +93 01 70 00 63 18 DF 05 93 00 00 00 13 01 00 00 +33 EF 20 02 93 0E 00 00 93 01 80 00 63 1C DF 03 +93 00 10 00 13 01 00 00 33 EF 20 02 93 0E 10 00 +93 01 90 00 63 10 DF 03 93 00 00 00 13 01 00 00 +33 EF 20 02 93 0E 00 00 93 01 A0 00 63 14 DF 01 +63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00 +93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00 +00 00 00 00 +@00000140 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32um-p-remu b/tests/isa/generated/rv32um-p-remu old mode 100644 new mode 100755 index 3fc8d8960a1fa1ed22e93419bb8865d023dc07a3..37c00a90ecbedcddb4f05f8b3a2b5a412dab94b2 GIT binary patch delta 579 zcmcbiIY(=Pf-s8+0~nYvC@?TGXfUudux&KnC?GnSmw`c;SAZcOC_5QQgV_@k`{f+v zfFebi#mQw1j3B+NMP0)wm{-50@(pbd<`Hw1HrFn&CdM+4a(koZ18_8TOA7?Aw~iJt&s3qS+}7^0aN@=yer80sK$U{U}W9<4xD2Q*j& z7?>Ekfcyp|{$wEE0g2C$oSa{5Tpk!OSxtDqpdL5|^b$)-iZYW*OHzv`CTdR>5D9SA z18QStU?>1mV9+7Rz#tFf0BNX+V44X@d<9S)$a+?wn9$@}5ouNpU>wYvJXb_n;t-JK JfTR{?1_0|6IiCOk delta 496 zcmbQEbwhK4g76Dr1~4#TP+(wW&|qL=VBKiEQ9x9fSAbzMF9Sn9P!_@#V91}CxO(yt zL6Le!h#oM>!tn9GA&|uZ7h_~71G1kW@hyOC1th*bkPQ-p07eEkAR_?A1v|g`A;6FXWN$#?X9C$LkoXNi_6H<>A&~tBiC+z5|3Komg4hBO0RaXk zhRG=WdM1X&5IHa@0Ce#xAgco!EFcZ*f&2y}{th7D0g2DxY!wq)oLW>I$xI|y%>n4~>fM4Q5YfWNe=-z{mjrkzNM& delta 36 ncmeBR?qHtqL{ykpfMGH(14BLo0|SIDz>q(gk+FTU03!zgjh+Vf diff --git a/tests/isa/generated/rv32um-p-remu.dump b/tests/isa/generated/rv32um-p-remu.dump index 7b978c1..9861a8b 100644 --- a/tests/isa/generated/rv32um-p-remu.dump +++ b/tests/isa/generated/rv32um-p-remu.dump @@ -82,15 +82,15 @@ Disassembly of section .text.init: e0: 00301863 bne zero,gp,f0 000000e4 : - e4: 00100d13 li s10,1 - e8: 00000d93 li s11,0 + e4: 00000d93 li s11,0 + e8: 00100d13 li s10,1 000000ec : ec: 0000006f j ec 000000f0 : - f0: 00100d13 li s10,1 - f4: 00100d93 li s11,1 + f0: 00100d93 li s11,1 + f4: 00100d13 li s10,1 000000f8 : f8: 0000006f j f8 @@ -103,3 +103,22 @@ Disassembly of section .tohost: 00000180 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1e41 addi t3,t3,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0014 0x14 + e: 0000 unimp + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 5f30 lw a2,120(a4) + 1a: 326d jal fffff9c4 + 1c: 3070 fld fa2,224(s0) + ... diff --git a/tests/isa/generated/rv32um-p-remu.mem b/tests/isa/generated/rv32um-p-remu.mem new file mode 100644 index 0000000..927d8f1 --- /dev/null +++ b/tests/isa/generated/rv32um-p-remu.mem @@ -0,0 +1,98 @@ +00000d13 +00000d93 +01400093 +00600113 +0220ff33 +00200e93 +00200193 +0ddf1463 +fec00093 +00600113 +0220ff33 +00200e93 +00300193 +0bdf1863 +01400093 +ffa00113 +0220ff33 +01400e93 +00400193 +09df1c63 +fec00093 +ffa00113 +0220ff33 +fec00e93 +00500193 +09df1063 +00000093 +00100113 +0220ff33 +00000e93 +00600193 +07df1463 +00000093 +fff00113 +0220ff33 +00000e93 +00700193 +05df1863 +00000093 +00000113 +0220ff33 +00000e93 +00800193 +03df1c63 +00100093 +00000113 +0220ff33 +00100e93 +00900193 +03df1063 +00000093 +00000113 +0220ff33 +00000e93 +00a00193 +01df1463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32um-p-remu.verilog b/tests/isa/generated/rv32um-p-remu.verilog old mode 100644 new mode 100755 index 60aad77..87fba21 --- a/tests/isa/generated/rv32um-p-remu.verilog +++ b/tests/isa/generated/rv32um-p-remu.verilog @@ -1,24 +1,24 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 40 01 13 01 60 00 -33 FF 20 02 93 0E 20 00 93 01 20 00 63 14 DF 0D -93 00 C0 FE 13 01 60 00 33 FF 20 02 93 0E 20 00 -93 01 30 00 63 18 DF 0B 93 00 40 01 13 01 A0 FF -33 FF 20 02 93 0E 40 01 93 01 40 00 63 1C DF 09 -93 00 C0 FE 13 01 A0 FF 33 FF 20 02 93 0E C0 FE -93 01 50 00 63 10 DF 09 93 00 00 00 13 01 10 00 -33 FF 20 02 93 0E 00 00 93 01 60 00 63 14 DF 07 -93 00 00 00 13 01 F0 FF 33 FF 20 02 93 0E 00 00 -93 01 70 00 63 18 DF 05 93 00 00 00 13 01 00 00 -33 FF 20 02 93 0E 00 00 93 01 80 00 63 1C DF 03 -93 00 10 00 13 01 00 00 33 FF 20 02 93 0E 10 00 -93 01 90 00 63 10 DF 03 93 00 00 00 13 01 00 00 -33 FF 20 02 93 0E 00 00 93 01 A0 00 63 14 DF 01 -63 18 30 00 13 0D 10 00 93 0D 00 00 6F 00 00 00 -13 0D 10 00 93 0D 10 00 6F 00 00 00 00 00 00 00 -00 00 00 00 -@00000140 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 40 01 13 01 60 00 +33 FF 20 02 93 0E 20 00 93 01 20 00 63 14 DF 0D +93 00 C0 FE 13 01 60 00 33 FF 20 02 93 0E 20 00 +93 01 30 00 63 18 DF 0B 93 00 40 01 13 01 A0 FF +33 FF 20 02 93 0E 40 01 93 01 40 00 63 1C DF 09 +93 00 C0 FE 13 01 A0 FF 33 FF 20 02 93 0E C0 FE +93 01 50 00 63 10 DF 09 93 00 00 00 13 01 10 00 +33 FF 20 02 93 0E 00 00 93 01 60 00 63 14 DF 07 +93 00 00 00 13 01 F0 FF 33 FF 20 02 93 0E 00 00 +93 01 70 00 63 18 DF 05 93 00 00 00 13 01 00 00 +33 FF 20 02 93 0E 00 00 93 01 80 00 63 1C DF 03 +93 00 10 00 13 01 00 00 33 FF 20 02 93 0E 10 00 +93 01 90 00 63 10 DF 03 93 00 00 00 13 01 00 00 +33 FF 20 02 93 0E 00 00 93 01 A0 00 63 14 DF 01 +63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00 +93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00 +00 00 00 00 +@00000140 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/riscv_test.h b/tests/isa/riscv_test.h index 74ae810..604a666 100644 --- a/tests/isa/riscv_test.h +++ b/tests/isa/riscv_test.h @@ -127,16 +127,16 @@ _start: \ //----------------------------------------------------------------------- #define RVTEST_PASS \ - li x26, 0x01; \ li x27, 0x01; \ + li x26, 0x01; \ loop_pass: \ j loop_pass #define TESTNUM gp #define RVTEST_FAIL \ - li x26, 0x01; \ li x27, 0x00; \ + li x26, 0x01; \ loop_fail: \ j loop_fail