diff --git a/tests/isa/Makefile b/tests/isa/Makefile index aa809df..fea6483 100644 --- a/tests/isa/Makefile +++ b/tests/isa/Makefile @@ -15,12 +15,13 @@ default: all # Build rules #-------------------------------------------------------------------- -RISCV_PREFIX ?= ../../tools/gnu-mcu-eclipse-riscv-none-gcc-8.2.0-2.2-20190521-0004-win64/bin/riscv-none-embed- +RISCV_PREFIX ?= /opt/riscv32/bin/riscv32-unknown-elf- RISCV_GCC ?= $(RISCV_PREFIX)gcc RISCV_GCC_OPTS ?= -static -mcmodel=medany -fvisibility=hidden -nostdlib -nostartfiles RISCV_OBJDUMP ?= $(RISCV_PREFIX)objdump --disassemble-all RISCV_OBJCOPY ?= $(RISCV_PREFIX)objcopy +BIN_TO_MEM := $(src_dir)/../../tools/BinToMem.py vpath %.S $(src_dir) @@ -31,6 +32,7 @@ vpath %.S $(src_dir) $(RISCV_OBJDUMP) generated/$< > generated/$@ $(RISCV_OBJCOPY) -O verilog generated/$< generated/$<.verilog $(RISCV_OBJCOPY) -O binary generated/$< generated/$<.bin + $(BIN_TO_MEM) generated/$<.bin generated/$<.mem define compile_template diff --git a/tests/isa/README.md b/tests/isa/README.md index 79e53b2..100544c 100644 --- a/tests/isa/README.md +++ b/tests/isa/README.md @@ -1,4 +1,34 @@ -RV32I instruction source code which copy from riscv(github). -I have modified it so can run on tinyriscv. -compile: type make under the cmd windows -recompile: type make after make clean under the cmd windows +RV32I instruction source code which copy from riscv(github). +I have modified it so can run on tinyriscv. +compile: type make under the cmd windows +recompile: type make after make clean under the cmd windows + + + +编译方法: + +1.修改Makefile里GNU工具链的路径: + +``` +RISCV_PREFIX ?= /opt/riscv32/bin/riscv32-unknown-elf- +``` + +2.修改Makefile里bin文件转men文件工具的路径: + +``` +BIN_TO_MEM := $(src_dir)/../../tools/BinToMem.py +``` + +3.编译 + +``` +make +``` + +4.重新编译 + +``` +make clean +make +``` + diff --git a/tests/isa/generated/rv32ui-p-add b/tests/isa/generated/rv32ui-p-add old mode 100644 new mode 100755 index 3955017..d8159fd Binary files a/tests/isa/generated/rv32ui-p-add and b/tests/isa/generated/rv32ui-p-add differ diff --git a/tests/isa/generated/rv32ui-p-add.bin b/tests/isa/generated/rv32ui-p-add.bin old mode 100644 new mode 100755 index 96010f5..fac5ac8 Binary files a/tests/isa/generated/rv32ui-p-add.bin and b/tests/isa/generated/rv32ui-p-add.bin differ diff --git a/tests/isa/generated/rv32ui-p-add.dump b/tests/isa/generated/rv32ui-p-add.dump index c17c150..d37fe4f 100644 --- a/tests/isa/generated/rv32ui-p-add.dump +++ b/tests/isa/generated/rv32ui-p-add.dump @@ -395,15 +395,15 @@ Disassembly of section .text.init: 4e4: 00301863 bne zero,gp,4f4 000004e8 : - 4e8: 00100d13 li s10,1 - 4ec: 00000d93 li s11,0 + 4e8: 00000d93 li s11,0 + 4ec: 00100d13 li s10,1 000004f0 : 4f0: 0000006f j 4f0 000004f4 : - 4f4: 00100d13 li s10,1 - 4f8: 00100d93 li s11,1 + 4f4: 00100d93 li s11,1 + 4f8: 00100d13 li s10,1 000004fc : 4fc: 0000006f j 4fc @@ -417,3 +417,18 @@ Disassembly of section .tohost: 00000580 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-add.mem b/tests/isa/generated/rv32ui-p-add.mem new file mode 100644 index 0000000..5a05a8f --- /dev/null +++ b/tests/isa/generated/rv32ui-p-add.mem @@ -0,0 +1,354 @@ +00000d13 +00000d93 +00000093 +00000113 +00208f33 +00000e93 +00200193 +4ddf1663 +00100093 +00100113 +00208f33 +00200e93 +00300193 +4bdf1a63 +00300093 +00700113 +00208f33 +00a00e93 +00400193 +49df1e63 +00000093 +ffff8137 +00208f33 +ffff8eb7 +00500193 +49df1263 +800000b7 +00000113 +00208f33 +80000eb7 +00600193 +47df1663 +800000b7 +ffff8137 +00208f33 +7fff8eb7 +00700193 +45df1a63 +00000093 +00008137 +fff10113 +00208f33 +00008eb7 +fffe8e93 +00800193 +43df1a63 +800000b7 +fff08093 +00000113 +00208f33 +80000eb7 +fffe8e93 +00900193 +41df1a63 +800000b7 +fff08093 +00008137 +fff10113 +00208f33 +80008eb7 +ffee8e93 +00a00193 +3fdf1863 +800000b7 +00008137 +fff10113 +00208f33 +80008eb7 +fffe8e93 +00b00193 +3ddf1863 +800000b7 +fff08093 +ffff8137 +00208f33 +7fff8eb7 +fffe8e93 +00c00193 +3bdf1863 +00000093 +fff00113 +00208f33 +fff00e93 +00d00193 +39df1c63 +fff00093 +00100113 +00208f33 +00000e93 +00e00193 +39df1063 +fff00093 +fff00113 +00208f33 +ffe00e93 +00f00193 +37df1463 +00100093 +80000137 +fff10113 +00208f33 +80000eb7 +01000193 +35df1663 +00d00093 +00b00113 +002080b3 +01800e93 +01100193 +33d09a63 +00e00093 +00b00113 +00208133 +01900e93 +01200193 +31d11e63 +00d00093 +001080b3 +01a00e93 +01300193 +31d09463 +00000213 +00d00093 +00b00113 +00208f33 +000f0313 +00120213 +00200293 +fe5214e3 +01800e93 +01400193 +2dd31e63 +00000213 +00e00093 +00b00113 +00208f33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +01900e93 +01500193 +2bd31663 +00000213 +00f00093 +00b00113 +00208f33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +01a00e93 +01600193 +27d31c63 +00000213 +00d00093 +00b00113 +00208f33 +00120213 +00200293 +fe5216e3 +01800e93 +01700193 +25df1863 +00000213 +00e00093 +00b00113 +00000013 +00208f33 +00120213 +00200293 +fe5214e3 +01900e93 +01800193 +23df1263 +00000213 +00f00093 +00b00113 +00000013 +00000013 +00208f33 +00120213 +00200293 +fe5212e3 +01a00e93 +01900193 +1fdf1a63 +00000213 +00d00093 +00000013 +00b00113 +00208f33 +00120213 +00200293 +fe5214e3 +01800e93 +01a00193 +1ddf1463 +00000213 +00e00093 +00000013 +00b00113 +00000013 +00208f33 +00120213 +00200293 +fe5212e3 +01900e93 +01b00193 +19df1c63 +00000213 +00f00093 +00000013 +00000013 +00b00113 +00208f33 +00120213 +00200293 +fe5212e3 +01a00e93 +01c00193 +17df1463 +00000213 +00b00113 +00d00093 +00208f33 +00120213 +00200293 +fe5216e3 +01800e93 +01d00193 +15df1063 +00000213 +00b00113 +00e00093 +00000013 +00208f33 +00120213 +00200293 +fe5214e3 +01900e93 +01e00193 +11df1a63 +00000213 +00b00113 +00f00093 +00000013 +00000013 +00208f33 +00120213 +00200293 +fe5212e3 +01a00e93 +01f00193 +0fdf1263 +00000213 +00b00113 +00000013 +00d00093 +00208f33 +00120213 +00200293 +fe5214e3 +01800e93 +02000193 +0bdf1c63 +00000213 +00b00113 +00000013 +00e00093 +00000013 +00208f33 +00120213 +00200293 +fe5212e3 +01900e93 +02100193 +09df1463 +00000213 +00b00113 +00000013 +00000013 +00f00093 +00208f33 +00120213 +00200293 +fe5212e3 +01a00e93 +02200193 +05df1c63 +00f00093 +00100133 +00f00e93 +02300193 +05d11263 +02000093 +00008133 +02000e93 +02400193 +03d11863 +000000b3 +00000e93 +02500193 +03d09063 +01000093 +01e00113 +00208033 +00000e93 +02600193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-add.verilog b/tests/isa/generated/rv32ui-p-add.verilog old mode 100644 new mode 100755 index 03c0528..29fd610 --- a/tests/isa/generated/rv32ui-p-add.verilog +++ b/tests/isa/generated/rv32ui-p-add.verilog @@ -1,88 +1,88 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 -33 8F 20 00 93 0E 00 00 93 01 20 00 63 16 DF 4D -93 00 10 00 13 01 10 00 33 8F 20 00 93 0E 20 00 -93 01 30 00 63 1A DF 4B 93 00 30 00 13 01 70 00 -33 8F 20 00 93 0E A0 00 93 01 40 00 63 1E DF 49 -93 00 00 00 37 81 FF FF 33 8F 20 00 B7 8E FF FF -93 01 50 00 63 12 DF 49 B7 00 00 80 13 01 00 00 -33 8F 20 00 B7 0E 00 80 93 01 60 00 63 16 DF 47 -B7 00 00 80 37 81 FF FF 33 8F 20 00 B7 8E FF 7F -93 01 70 00 63 1A DF 45 93 00 00 00 37 81 00 00 -13 01 F1 FF 33 8F 20 00 B7 8E 00 00 93 8E FE FF -93 01 80 00 63 1A DF 43 B7 00 00 80 93 80 F0 FF -13 01 00 00 33 8F 20 00 B7 0E 00 80 93 8E FE FF -93 01 90 00 63 1A DF 41 B7 00 00 80 93 80 F0 FF -37 81 00 00 13 01 F1 FF 33 8F 20 00 B7 8E 00 80 -93 8E EE FF 93 01 A0 00 63 18 DF 3F B7 00 00 80 -37 81 00 00 13 01 F1 FF 33 8F 20 00 B7 8E 00 80 -93 8E FE FF 93 01 B0 00 63 18 DF 3D B7 00 00 80 -93 80 F0 FF 37 81 FF FF 33 8F 20 00 B7 8E FF 7F -93 8E FE FF 93 01 C0 00 63 18 DF 3B 93 00 00 00 -13 01 F0 FF 33 8F 20 00 93 0E F0 FF 93 01 D0 00 -63 1C DF 39 93 00 F0 FF 13 01 10 00 33 8F 20 00 -93 0E 00 00 93 01 E0 00 63 10 DF 39 93 00 F0 FF -13 01 F0 FF 33 8F 20 00 93 0E E0 FF 93 01 F0 00 -63 14 DF 37 93 00 10 00 37 01 00 80 13 01 F1 FF -33 8F 20 00 B7 0E 00 80 93 01 00 01 63 16 DF 35 -93 00 D0 00 13 01 B0 00 B3 80 20 00 93 0E 80 01 -93 01 10 01 63 9A D0 33 93 00 E0 00 13 01 B0 00 -33 81 20 00 93 0E 90 01 93 01 20 01 63 1E D1 31 -93 00 D0 00 B3 80 10 00 93 0E A0 01 93 01 30 01 -63 94 D0 31 13 02 00 00 93 00 D0 00 13 01 B0 00 -33 8F 20 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 80 01 93 01 40 01 63 1E D3 2D -13 02 00 00 93 00 E0 00 13 01 B0 00 33 8F 20 00 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 90 01 93 01 50 01 63 16 D3 2B -13 02 00 00 93 00 F0 00 13 01 B0 00 33 8F 20 00 -13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 -93 02 20 00 E3 10 52 FE 93 0E A0 01 93 01 60 01 -63 1C D3 27 13 02 00 00 93 00 D0 00 13 01 B0 00 -33 8F 20 00 13 02 12 00 93 02 20 00 E3 16 52 FE -93 0E 80 01 93 01 70 01 63 18 DF 25 13 02 00 00 -93 00 E0 00 13 01 B0 00 13 00 00 00 33 8F 20 00 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 90 01 -93 01 80 01 63 12 DF 23 13 02 00 00 93 00 F0 00 -13 01 B0 00 13 00 00 00 13 00 00 00 33 8F 20 00 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E A0 01 -93 01 90 01 63 1A DF 1F 13 02 00 00 93 00 D0 00 -13 00 00 00 13 01 B0 00 33 8F 20 00 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 0E 80 01 93 01 A0 01 -63 14 DF 1D 13 02 00 00 93 00 E0 00 13 00 00 00 -13 01 B0 00 13 00 00 00 33 8F 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E 90 01 93 01 B0 01 -63 1C DF 19 13 02 00 00 93 00 F0 00 13 00 00 00 -13 00 00 00 13 01 B0 00 33 8F 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E A0 01 93 01 C0 01 -63 14 DF 17 13 02 00 00 13 01 B0 00 93 00 D0 00 -33 8F 20 00 13 02 12 00 93 02 20 00 E3 16 52 FE -93 0E 80 01 93 01 D0 01 63 10 DF 15 13 02 00 00 -13 01 B0 00 93 00 E0 00 13 00 00 00 33 8F 20 00 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 90 01 -93 01 E0 01 63 1A DF 11 13 02 00 00 13 01 B0 00 -93 00 F0 00 13 00 00 00 13 00 00 00 33 8F 20 00 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E A0 01 -93 01 F0 01 63 12 DF 0F 13 02 00 00 13 01 B0 00 -13 00 00 00 93 00 D0 00 33 8F 20 00 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 0E 80 01 93 01 00 02 -63 1C DF 0B 13 02 00 00 13 01 B0 00 13 00 00 00 -93 00 E0 00 13 00 00 00 33 8F 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E 90 01 93 01 10 02 -63 14 DF 09 13 02 00 00 13 01 B0 00 13 00 00 00 -13 00 00 00 93 00 F0 00 33 8F 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E A0 01 93 01 20 02 -63 1C DF 05 93 00 F0 00 33 01 10 00 93 0E F0 00 -93 01 30 02 63 12 D1 05 93 00 00 02 33 81 00 00 -93 0E 00 02 93 01 40 02 63 18 D1 03 B3 00 00 00 -93 0E 00 00 93 01 50 02 63 90 D0 03 93 00 00 01 -13 01 E0 01 33 80 20 00 93 0E 00 00 93 01 60 02 -63 14 D0 01 63 18 30 00 13 0D 10 00 93 0D 00 00 -6F 00 00 00 13 0D 10 00 93 0D 10 00 6F 00 00 00 -00 00 00 00 -@00000540 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 +33 8F 20 00 93 0E 00 00 93 01 20 00 63 16 DF 4D +93 00 10 00 13 01 10 00 33 8F 20 00 93 0E 20 00 +93 01 30 00 63 1A DF 4B 93 00 30 00 13 01 70 00 +33 8F 20 00 93 0E A0 00 93 01 40 00 63 1E DF 49 +93 00 00 00 37 81 FF FF 33 8F 20 00 B7 8E FF FF +93 01 50 00 63 12 DF 49 B7 00 00 80 13 01 00 00 +33 8F 20 00 B7 0E 00 80 93 01 60 00 63 16 DF 47 +B7 00 00 80 37 81 FF FF 33 8F 20 00 B7 8E FF 7F +93 01 70 00 63 1A DF 45 93 00 00 00 37 81 00 00 +13 01 F1 FF 33 8F 20 00 B7 8E 00 00 93 8E FE FF +93 01 80 00 63 1A DF 43 B7 00 00 80 93 80 F0 FF +13 01 00 00 33 8F 20 00 B7 0E 00 80 93 8E FE FF +93 01 90 00 63 1A DF 41 B7 00 00 80 93 80 F0 FF +37 81 00 00 13 01 F1 FF 33 8F 20 00 B7 8E 00 80 +93 8E EE FF 93 01 A0 00 63 18 DF 3F B7 00 00 80 +37 81 00 00 13 01 F1 FF 33 8F 20 00 B7 8E 00 80 +93 8E FE FF 93 01 B0 00 63 18 DF 3D B7 00 00 80 +93 80 F0 FF 37 81 FF FF 33 8F 20 00 B7 8E FF 7F +93 8E FE FF 93 01 C0 00 63 18 DF 3B 93 00 00 00 +13 01 F0 FF 33 8F 20 00 93 0E F0 FF 93 01 D0 00 +63 1C DF 39 93 00 F0 FF 13 01 10 00 33 8F 20 00 +93 0E 00 00 93 01 E0 00 63 10 DF 39 93 00 F0 FF +13 01 F0 FF 33 8F 20 00 93 0E E0 FF 93 01 F0 00 +63 14 DF 37 93 00 10 00 37 01 00 80 13 01 F1 FF +33 8F 20 00 B7 0E 00 80 93 01 00 01 63 16 DF 35 +93 00 D0 00 13 01 B0 00 B3 80 20 00 93 0E 80 01 +93 01 10 01 63 9A D0 33 93 00 E0 00 13 01 B0 00 +33 81 20 00 93 0E 90 01 93 01 20 01 63 1E D1 31 +93 00 D0 00 B3 80 10 00 93 0E A0 01 93 01 30 01 +63 94 D0 31 13 02 00 00 93 00 D0 00 13 01 B0 00 +33 8F 20 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 80 01 93 01 40 01 63 1E D3 2D +13 02 00 00 93 00 E0 00 13 01 B0 00 33 8F 20 00 +13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 90 01 93 01 50 01 63 16 D3 2B +13 02 00 00 93 00 F0 00 13 01 B0 00 33 8F 20 00 +13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 10 52 FE 93 0E A0 01 93 01 60 01 +63 1C D3 27 13 02 00 00 93 00 D0 00 13 01 B0 00 +33 8F 20 00 13 02 12 00 93 02 20 00 E3 16 52 FE +93 0E 80 01 93 01 70 01 63 18 DF 25 13 02 00 00 +93 00 E0 00 13 01 B0 00 13 00 00 00 33 8F 20 00 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 90 01 +93 01 80 01 63 12 DF 23 13 02 00 00 93 00 F0 00 +13 01 B0 00 13 00 00 00 13 00 00 00 33 8F 20 00 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E A0 01 +93 01 90 01 63 1A DF 1F 13 02 00 00 93 00 D0 00 +13 00 00 00 13 01 B0 00 33 8F 20 00 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 0E 80 01 93 01 A0 01 +63 14 DF 1D 13 02 00 00 93 00 E0 00 13 00 00 00 +13 01 B0 00 13 00 00 00 33 8F 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E 90 01 93 01 B0 01 +63 1C DF 19 13 02 00 00 93 00 F0 00 13 00 00 00 +13 00 00 00 13 01 B0 00 33 8F 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E A0 01 93 01 C0 01 +63 14 DF 17 13 02 00 00 13 01 B0 00 93 00 D0 00 +33 8F 20 00 13 02 12 00 93 02 20 00 E3 16 52 FE +93 0E 80 01 93 01 D0 01 63 10 DF 15 13 02 00 00 +13 01 B0 00 93 00 E0 00 13 00 00 00 33 8F 20 00 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 90 01 +93 01 E0 01 63 1A DF 11 13 02 00 00 13 01 B0 00 +93 00 F0 00 13 00 00 00 13 00 00 00 33 8F 20 00 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E A0 01 +93 01 F0 01 63 12 DF 0F 13 02 00 00 13 01 B0 00 +13 00 00 00 93 00 D0 00 33 8F 20 00 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 0E 80 01 93 01 00 02 +63 1C DF 0B 13 02 00 00 13 01 B0 00 13 00 00 00 +93 00 E0 00 13 00 00 00 33 8F 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E 90 01 93 01 10 02 +63 14 DF 09 13 02 00 00 13 01 B0 00 13 00 00 00 +13 00 00 00 93 00 F0 00 33 8F 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E A0 01 93 01 20 02 +63 1C DF 05 93 00 F0 00 33 01 10 00 93 0E F0 00 +93 01 30 02 63 12 D1 05 93 00 00 02 33 81 00 00 +93 0E 00 02 93 01 40 02 63 18 D1 03 B3 00 00 00 +93 0E 00 00 93 01 50 02 63 90 D0 03 93 00 00 01 +13 01 E0 01 33 80 20 00 93 0E 00 00 93 01 60 02 +63 14 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 +6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +00 00 00 00 +@00000540 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-addi b/tests/isa/generated/rv32ui-p-addi old mode 100644 new mode 100755 index 1a8bf61..5f29bbe Binary files a/tests/isa/generated/rv32ui-p-addi and b/tests/isa/generated/rv32ui-p-addi differ diff --git a/tests/isa/generated/rv32ui-p-addi.bin b/tests/isa/generated/rv32ui-p-addi.bin old mode 100644 new mode 100755 index 83aa0d8..4f65d96 Binary files a/tests/isa/generated/rv32ui-p-addi.bin and b/tests/isa/generated/rv32ui-p-addi.bin differ diff --git a/tests/isa/generated/rv32ui-p-addi.dump b/tests/isa/generated/rv32ui-p-addi.dump index 6ad58c4..ffe7026 100644 --- a/tests/isa/generated/rv32ui-p-addi.dump +++ b/tests/isa/generated/rv32ui-p-addi.dump @@ -219,15 +219,15 @@ Disassembly of section .text.init: 28c: 00301863 bne zero,gp,29c 00000290 : - 290: 00100d13 li s10,1 - 294: 00000d93 li s11,0 + 290: 00000d93 li s11,0 + 294: 00100d13 li s10,1 00000298 : 298: 0000006f j 298 0000029c : - 29c: 00100d13 li s10,1 - 2a0: 00100d93 li s11,1 + 29c: 00100d93 li s11,1 + 2a0: 00100d13 li s10,1 000002a4 : 2a4: 0000006f j 2a4 @@ -240,3 +240,18 @@ Disassembly of section .tohost: 00000340 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-addi.mem b/tests/isa/generated/rv32ui-p-addi.mem new file mode 100644 index 0000000..23014c2 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-addi.mem @@ -0,0 +1,210 @@ +00000d13 +00000d93 +00000093 +00008f13 +00000e93 +00200193 +27df1c63 +00100093 +00108f13 +00200e93 +00300193 +27df1263 +00300093 +00708f13 +00a00e93 +00400193 +25df1863 +00000093 +80008f13 +80000e93 +00500193 +23df1e63 +800000b7 +00008f13 +80000eb7 +00600193 +23df1463 +800000b7 +80008f13 +80000eb7 +800e8e93 +00700193 +21df1863 +00000093 +7ff08f13 +7ff00e93 +00800193 +1fdf1e63 +800000b7 +fff08093 +00008f13 +80000eb7 +fffe8e93 +00900193 +1fdf1063 +800000b7 +fff08093 +7ff08f13 +80000eb7 +7fee8e93 +00a00193 +1ddf1263 +800000b7 +7ff08f13 +80000eb7 +7ffe8e93 +00b00193 +1bdf1663 +800000b7 +fff08093 +80008f13 +7ffffeb7 +7ffe8e93 +00c00193 +19df1863 +00000093 +fff08f13 +fff00e93 +00d00193 +17df1e63 +fff00093 +00108f13 +00000e93 +00e00193 +17df1463 +fff00093 +fff08f13 +ffe00e93 +00f00193 +15df1a63 +800000b7 +fff08093 +00108f13 +80000eb7 +01000193 +13df1e63 +00d00093 +00b08093 +01800e93 +01100193 +13d09463 +00000213 +00d00093 +00b08f13 +000f0313 +00120213 +00200293 +fe5216e3 +01800e93 +01200193 +11d31063 +00000213 +00d00093 +00a08f13 +00000013 +000f0313 +00120213 +00200293 +fe5214e3 +01700e93 +01300193 +0dd31a63 +00000213 +00d00093 +00908f13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +01600e93 +01400193 +0bd31263 +00000213 +00d00093 +00b08f13 +00120213 +00200293 +fe5218e3 +01800e93 +01500193 +09df1063 +00000213 +00d00093 +00000013 +00a08f13 +00120213 +00200293 +fe5216e3 +01700e93 +01600193 +05df1c63 +00000213 +00d00093 +00000013 +00000013 +00908f13 +00120213 +00200293 +fe5214e3 +01600e93 +01700193 +03df1663 +02000093 +02000e93 +01800193 +01d09e63 +02100093 +03208013 +00000e93 +01900193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-addi.verilog b/tests/isa/generated/rv32ui-p-addi.verilog old mode 100644 new mode 100755 index 7597bdf..41f3d94 --- a/tests/isa/generated/rv32ui-p-addi.verilog +++ b/tests/isa/generated/rv32ui-p-addi.verilog @@ -1,52 +1,52 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 8F 00 00 -93 0E 00 00 93 01 20 00 63 1C DF 27 93 00 10 00 -13 8F 10 00 93 0E 20 00 93 01 30 00 63 12 DF 27 -93 00 30 00 13 8F 70 00 93 0E A0 00 93 01 40 00 -63 18 DF 25 93 00 00 00 13 8F 00 80 93 0E 00 80 -93 01 50 00 63 1E DF 23 B7 00 00 80 13 8F 00 00 -B7 0E 00 80 93 01 60 00 63 14 DF 23 B7 00 00 80 -13 8F 00 80 B7 0E 00 80 93 8E 0E 80 93 01 70 00 -63 18 DF 21 93 00 00 00 13 8F F0 7F 93 0E F0 7F -93 01 80 00 63 1E DF 1F B7 00 00 80 93 80 F0 FF -13 8F 00 00 B7 0E 00 80 93 8E FE FF 93 01 90 00 -63 10 DF 1F B7 00 00 80 93 80 F0 FF 13 8F F0 7F -B7 0E 00 80 93 8E EE 7F 93 01 A0 00 63 12 DF 1D -B7 00 00 80 13 8F F0 7F B7 0E 00 80 93 8E FE 7F -93 01 B0 00 63 16 DF 1B B7 00 00 80 93 80 F0 FF -13 8F 00 80 B7 FE FF 7F 93 8E FE 7F 93 01 C0 00 -63 18 DF 19 93 00 00 00 13 8F F0 FF 93 0E F0 FF -93 01 D0 00 63 1E DF 17 93 00 F0 FF 13 8F 10 00 -93 0E 00 00 93 01 E0 00 63 14 DF 17 93 00 F0 FF -13 8F F0 FF 93 0E E0 FF 93 01 F0 00 63 1A DF 15 -B7 00 00 80 93 80 F0 FF 13 8F 10 00 B7 0E 00 80 -93 01 00 01 63 1E DF 13 93 00 D0 00 93 80 B0 00 -93 0E 80 01 93 01 10 01 63 94 D0 13 13 02 00 00 -93 00 D0 00 13 8F B0 00 13 03 0F 00 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 0E 80 01 93 01 20 01 -63 10 D3 11 13 02 00 00 93 00 D0 00 13 8F A0 00 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 70 01 93 01 30 01 63 1A D3 0D -13 02 00 00 93 00 D0 00 13 8F 90 00 13 00 00 00 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 60 01 93 01 40 01 63 12 D3 0B -13 02 00 00 93 00 D0 00 13 8F B0 00 13 02 12 00 -93 02 20 00 E3 18 52 FE 93 0E 80 01 93 01 50 01 -63 10 DF 09 13 02 00 00 93 00 D0 00 13 00 00 00 -13 8F A0 00 13 02 12 00 93 02 20 00 E3 16 52 FE -93 0E 70 01 93 01 60 01 63 1C DF 05 13 02 00 00 -93 00 D0 00 13 00 00 00 13 00 00 00 13 8F 90 00 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 60 01 -93 01 70 01 63 16 DF 03 93 00 00 02 93 0E 00 02 -93 01 80 01 63 9E D0 01 93 00 10 02 13 80 20 03 -93 0E 00 00 93 01 90 01 63 14 D0 01 63 18 30 00 -13 0D 10 00 93 0D 00 00 6F 00 00 00 13 0D 10 00 -93 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000300 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 00 00 13 8F 00 00 +93 0E 00 00 93 01 20 00 63 1C DF 27 93 00 10 00 +13 8F 10 00 93 0E 20 00 93 01 30 00 63 12 DF 27 +93 00 30 00 13 8F 70 00 93 0E A0 00 93 01 40 00 +63 18 DF 25 93 00 00 00 13 8F 00 80 93 0E 00 80 +93 01 50 00 63 1E DF 23 B7 00 00 80 13 8F 00 00 +B7 0E 00 80 93 01 60 00 63 14 DF 23 B7 00 00 80 +13 8F 00 80 B7 0E 00 80 93 8E 0E 80 93 01 70 00 +63 18 DF 21 93 00 00 00 13 8F F0 7F 93 0E F0 7F +93 01 80 00 63 1E DF 1F B7 00 00 80 93 80 F0 FF +13 8F 00 00 B7 0E 00 80 93 8E FE FF 93 01 90 00 +63 10 DF 1F B7 00 00 80 93 80 F0 FF 13 8F F0 7F +B7 0E 00 80 93 8E EE 7F 93 01 A0 00 63 12 DF 1D +B7 00 00 80 13 8F F0 7F B7 0E 00 80 93 8E FE 7F +93 01 B0 00 63 16 DF 1B B7 00 00 80 93 80 F0 FF +13 8F 00 80 B7 FE FF 7F 93 8E FE 7F 93 01 C0 00 +63 18 DF 19 93 00 00 00 13 8F F0 FF 93 0E F0 FF +93 01 D0 00 63 1E DF 17 93 00 F0 FF 13 8F 10 00 +93 0E 00 00 93 01 E0 00 63 14 DF 17 93 00 F0 FF +13 8F F0 FF 93 0E E0 FF 93 01 F0 00 63 1A DF 15 +B7 00 00 80 93 80 F0 FF 13 8F 10 00 B7 0E 00 80 +93 01 00 01 63 1E DF 13 93 00 D0 00 93 80 B0 00 +93 0E 80 01 93 01 10 01 63 94 D0 13 13 02 00 00 +93 00 D0 00 13 8F B0 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 0E 80 01 93 01 20 01 +63 10 D3 11 13 02 00 00 93 00 D0 00 13 8F A0 00 +13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 70 01 93 01 30 01 63 1A D3 0D +13 02 00 00 93 00 D0 00 13 8F 90 00 13 00 00 00 +13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 60 01 93 01 40 01 63 12 D3 0B +13 02 00 00 93 00 D0 00 13 8F B0 00 13 02 12 00 +93 02 20 00 E3 18 52 FE 93 0E 80 01 93 01 50 01 +63 10 DF 09 13 02 00 00 93 00 D0 00 13 00 00 00 +13 8F A0 00 13 02 12 00 93 02 20 00 E3 16 52 FE +93 0E 70 01 93 01 60 01 63 1C DF 05 13 02 00 00 +93 00 D0 00 13 00 00 00 13 00 00 00 13 8F 90 00 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 60 01 +93 01 70 01 63 16 DF 03 93 00 00 02 93 0E 00 02 +93 01 80 01 63 9E D0 01 93 00 10 02 13 80 20 03 +93 0E 00 00 93 01 90 01 63 14 D0 01 63 18 30 00 +93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 +13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000300 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-and b/tests/isa/generated/rv32ui-p-and old mode 100644 new mode 100755 index d3c6e92..3cb4a05 Binary files a/tests/isa/generated/rv32ui-p-and and b/tests/isa/generated/rv32ui-p-and differ diff --git a/tests/isa/generated/rv32ui-p-and.bin b/tests/isa/generated/rv32ui-p-and.bin old mode 100644 new mode 100755 index b50f97c..232df19 Binary files a/tests/isa/generated/rv32ui-p-and.bin and b/tests/isa/generated/rv32ui-p-and.bin differ diff --git a/tests/isa/generated/rv32ui-p-and.dump b/tests/isa/generated/rv32ui-p-and.dump index 514a1b1..1b90b63 100644 --- a/tests/isa/generated/rv32ui-p-and.dump +++ b/tests/isa/generated/rv32ui-p-and.dump @@ -363,15 +363,15 @@ Disassembly of section .text.init: 4bc: 00301863 bne zero,gp,4cc 000004c0 : - 4c0: 00100d13 li s10,1 - 4c4: 00000d93 li s11,0 + 4c0: 00000d93 li s11,0 + 4c4: 00100d13 li s10,1 000004c8 : 4c8: 0000006f j 4c8 000004cc : - 4cc: 00100d13 li s10,1 - 4d0: 00100d93 li s11,1 + 4cc: 00100d93 li s11,1 + 4d0: 00100d13 li s10,1 000004d4 : 4d4: 0000006f j 4d4 @@ -384,3 +384,18 @@ Disassembly of section .tohost: 00000580 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-and.mem b/tests/isa/generated/rv32ui-p-and.mem new file mode 100644 index 0000000..6e7510b --- /dev/null +++ b/tests/isa/generated/rv32ui-p-and.mem @@ -0,0 +1,354 @@ +00000d13 +00000d93 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020ff33 +0f001eb7 +f00e8e93 +00200193 +49df1c63 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020ff33 +00f00eb7 +0f0e8e93 +00300193 +47df1a63 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +0020ff33 +000f0eb7 +00fe8e93 +00400193 +45df1863 +f00ff0b7 +00f08093 +f0f0f137 +0f010113 +0020ff33 +f000feb7 +00500193 +43df1863 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020f0b3 +0f001eb7 +f00e8e93 +00600193 +41d09663 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020f133 +00f00eb7 +0f0e8e93 +00700193 +3fd11463 +ff0100b7 +f0008093 +0010f0b3 +ff010eb7 +f00e8e93 +00800193 +3dd09663 +00000213 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020ff33 +000f0313 +00120213 +00200293 +fe5210e3 +0f001eb7 +f00e8e93 +00900193 +39d31a63 +00000213 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020ff33 +00000013 +000f0313 +00120213 +00200293 +fc521ee3 +00f00eb7 +0f0e8e93 +00a00193 +35d31c63 +00000213 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +0020ff33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fc521ce3 +000f0eb7 +00fe8e93 +00b00193 +31d31c63 +00000213 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020ff33 +00120213 +00200293 +fe5212e3 +0f001eb7 +f00e8e93 +00c00193 +2fdf1263 +00000213 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +00000013 +0020ff33 +00120213 +00200293 +fe5210e3 +00f00eb7 +0f0e8e93 +00d00193 +2bdf1663 +00000213 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +00000013 +00000013 +0020ff33 +00120213 +00200293 +fc521ee3 +000f0eb7 +00fe8e93 +00e00193 +27df1863 +00000213 +ff0100b7 +f0008093 +00000013 +0f0f1137 +f0f10113 +0020ff33 +00120213 +00200293 +fe5210e3 +0f001eb7 +f00e8e93 +00f00193 +23df1c63 +00000213 +0ff010b7 +ff008093 +00000013 +f0f0f137 +0f010113 +00000013 +0020ff33 +00120213 +00200293 +fc521ee3 +00f00eb7 +0f0e8e93 +01000193 +1fdf1e63 +00000213 +00ff00b7 +0ff08093 +00000013 +00000013 +0f0f1137 +f0f10113 +0020ff33 +00120213 +00200293 +fc521ee3 +000f0eb7 +00fe8e93 +01100193 +1ddf1063 +00000213 +0f0f1137 +f0f10113 +ff0100b7 +f0008093 +0020ff33 +00120213 +00200293 +fe5212e3 +0f001eb7 +f00e8e93 +01200193 +19df1663 +00000213 +f0f0f137 +0f010113 +0ff010b7 +ff008093 +00000013 +0020ff33 +00120213 +00200293 +fe5210e3 +00f00eb7 +0f0e8e93 +01300193 +15df1a63 +00000213 +0f0f1137 +f0f10113 +00ff00b7 +0ff08093 +00000013 +00000013 +0020ff33 +00120213 +00200293 +fc521ee3 +000f0eb7 +00fe8e93 +01400193 +11df1c63 +00000213 +0f0f1137 +f0f10113 +00000013 +ff0100b7 +f0008093 +0020ff33 +00120213 +00200293 +fe5210e3 +0f001eb7 +f00e8e93 +01500193 +0fdf1063 +00000213 +f0f0f137 +0f010113 +00000013 +0ff010b7 +ff008093 +00000013 +0020ff33 +00120213 +00200293 +fc521ee3 +00f00eb7 +0f0e8e93 +01600193 +0bdf1263 +00000213 +0f0f1137 +f0f10113 +00000013 +00000013 +00ff00b7 +0ff08093 +0020ff33 +00120213 +00200293 +fc521ee3 +000f0eb7 +00fe8e93 +01700193 +07df1463 +ff0100b7 +f0008093 +00107133 +00000e93 +01800193 +05d11863 +00ff00b7 +0ff08093 +0000f133 +00000e93 +01900193 +03d11c63 +000070b3 +00000e93 +01a00193 +03d09463 +111110b7 +11108093 +22222137 +22210113 +0020f033 +00000e93 +01b00193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-and.verilog b/tests/isa/generated/rv32ui-p-and.verilog old mode 100644 new mode 100755 index ac432d4..420722a --- a/tests/isa/generated/rv32ui-p-and.verilog +++ b/tests/isa/generated/rv32ui-p-and.verilog @@ -1,88 +1,88 @@ -@00000000 -13 0D 00 00 93 0D 00 00 B7 00 01 FF 93 80 00 F0 -37 11 0F 0F 13 01 F1 F0 33 FF 20 00 B7 1E 00 0F -93 8E 0E F0 93 01 20 00 63 1C DF 49 B7 10 F0 0F -93 80 00 FF 37 F1 F0 F0 13 01 01 0F 33 FF 20 00 -B7 0E F0 00 93 8E 0E 0F 93 01 30 00 63 1A DF 47 -B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 -33 FF 20 00 B7 0E 0F 00 93 8E FE 00 93 01 40 00 -63 18 DF 45 B7 F0 0F F0 93 80 F0 00 37 F1 F0 F0 -13 01 01 0F 33 FF 20 00 B7 FE 00 F0 93 01 50 00 -63 18 DF 43 B7 00 01 FF 93 80 00 F0 37 11 0F 0F -13 01 F1 F0 B3 F0 20 00 B7 1E 00 0F 93 8E 0E F0 -93 01 60 00 63 96 D0 41 B7 10 F0 0F 93 80 00 FF -37 F1 F0 F0 13 01 01 0F 33 F1 20 00 B7 0E F0 00 -93 8E 0E 0F 93 01 70 00 63 14 D1 3F B7 00 01 FF -93 80 00 F0 B3 F0 10 00 B7 0E 01 FF 93 8E 0E F0 -93 01 80 00 63 96 D0 3D 13 02 00 00 B7 00 01 FF -93 80 00 F0 37 11 0F 0F 13 01 F1 F0 33 FF 20 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE -B7 1E 00 0F 93 8E 0E F0 93 01 90 00 63 1A D3 39 -13 02 00 00 B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 -13 01 01 0F 33 FF 20 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E F0 00 -93 8E 0E 0F 93 01 A0 00 63 1C D3 35 13 02 00 00 -B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 -33 FF 20 00 13 00 00 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 1C 52 FC B7 0E 0F 00 -93 8E FE 00 93 01 B0 00 63 1C D3 31 13 02 00 00 -B7 00 01 FF 93 80 00 F0 37 11 0F 0F 13 01 F1 F0 -33 FF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 1E 00 0F 93 8E 0E F0 93 01 C0 00 63 12 DF 2F -13 02 00 00 B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 -13 01 01 0F 13 00 00 00 33 FF 20 00 13 02 12 00 -93 02 20 00 E3 10 52 FE B7 0E F0 00 93 8E 0E 0F -93 01 D0 00 63 16 DF 2B 13 02 00 00 B7 00 FF 00 -93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 13 00 00 00 -13 00 00 00 33 FF 20 00 13 02 12 00 93 02 20 00 -E3 1E 52 FC B7 0E 0F 00 93 8E FE 00 93 01 E0 00 -63 18 DF 27 13 02 00 00 B7 00 01 FF 93 80 00 F0 -13 00 00 00 37 11 0F 0F 13 01 F1 F0 33 FF 20 00 -13 02 12 00 93 02 20 00 E3 10 52 FE B7 1E 00 0F -93 8E 0E F0 93 01 F0 00 63 1C DF 23 13 02 00 00 -B7 10 F0 0F 93 80 00 FF 13 00 00 00 37 F1 F0 F0 -13 01 01 0F 13 00 00 00 33 FF 20 00 13 02 12 00 -93 02 20 00 E3 1E 52 FC B7 0E F0 00 93 8E 0E 0F -93 01 00 01 63 1E DF 1F 13 02 00 00 B7 00 FF 00 -93 80 F0 0F 13 00 00 00 13 00 00 00 37 11 0F 0F -13 01 F1 F0 33 FF 20 00 13 02 12 00 93 02 20 00 -E3 1E 52 FC B7 0E 0F 00 93 8E FE 00 93 01 10 01 -63 10 DF 1D 13 02 00 00 37 11 0F 0F 13 01 F1 F0 -B7 00 01 FF 93 80 00 F0 33 FF 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 1E 00 0F 93 8E 0E F0 -93 01 20 01 63 16 DF 19 13 02 00 00 37 F1 F0 F0 -13 01 01 0F B7 10 F0 0F 93 80 00 FF 13 00 00 00 -33 FF 20 00 13 02 12 00 93 02 20 00 E3 10 52 FE -B7 0E F0 00 93 8E 0E 0F 93 01 30 01 63 1A DF 15 -13 02 00 00 37 11 0F 0F 13 01 F1 F0 B7 00 FF 00 -93 80 F0 0F 13 00 00 00 13 00 00 00 33 FF 20 00 -13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E 0F 00 -93 8E FE 00 93 01 40 01 63 1C DF 11 13 02 00 00 -37 11 0F 0F 13 01 F1 F0 13 00 00 00 B7 00 01 FF -93 80 00 F0 33 FF 20 00 13 02 12 00 93 02 20 00 -E3 10 52 FE B7 1E 00 0F 93 8E 0E F0 93 01 50 01 -63 10 DF 0F 13 02 00 00 37 F1 F0 F0 13 01 01 0F -13 00 00 00 B7 10 F0 0F 93 80 00 FF 13 00 00 00 -33 FF 20 00 13 02 12 00 93 02 20 00 E3 1E 52 FC -B7 0E F0 00 93 8E 0E 0F 93 01 60 01 63 12 DF 0B -13 02 00 00 37 11 0F 0F 13 01 F1 F0 13 00 00 00 -13 00 00 00 B7 00 FF 00 93 80 F0 0F 33 FF 20 00 -13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E 0F 00 -93 8E FE 00 93 01 70 01 63 14 DF 07 B7 00 01 FF -93 80 00 F0 33 71 10 00 93 0E 00 00 93 01 80 01 -63 18 D1 05 B7 00 FF 00 93 80 F0 0F 33 F1 00 00 -93 0E 00 00 93 01 90 01 63 1C D1 03 B3 70 00 00 -93 0E 00 00 93 01 A0 01 63 94 D0 03 B7 10 11 11 -93 80 10 11 37 21 22 22 13 01 21 22 33 F0 20 00 -93 0E 00 00 93 01 B0 01 63 14 D0 01 63 18 30 00 -13 0D 10 00 93 0D 00 00 6F 00 00 00 13 0D 10 00 -93 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000540 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 B7 00 01 FF 93 80 00 F0 +37 11 0F 0F 13 01 F1 F0 33 FF 20 00 B7 1E 00 0F +93 8E 0E F0 93 01 20 00 63 1C DF 49 B7 10 F0 0F +93 80 00 FF 37 F1 F0 F0 13 01 01 0F 33 FF 20 00 +B7 0E F0 00 93 8E 0E 0F 93 01 30 00 63 1A DF 47 +B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 +33 FF 20 00 B7 0E 0F 00 93 8E FE 00 93 01 40 00 +63 18 DF 45 B7 F0 0F F0 93 80 F0 00 37 F1 F0 F0 +13 01 01 0F 33 FF 20 00 B7 FE 00 F0 93 01 50 00 +63 18 DF 43 B7 00 01 FF 93 80 00 F0 37 11 0F 0F +13 01 F1 F0 B3 F0 20 00 B7 1E 00 0F 93 8E 0E F0 +93 01 60 00 63 96 D0 41 B7 10 F0 0F 93 80 00 FF +37 F1 F0 F0 13 01 01 0F 33 F1 20 00 B7 0E F0 00 +93 8E 0E 0F 93 01 70 00 63 14 D1 3F B7 00 01 FF +93 80 00 F0 B3 F0 10 00 B7 0E 01 FF 93 8E 0E F0 +93 01 80 00 63 96 D0 3D 13 02 00 00 B7 00 01 FF +93 80 00 F0 37 11 0F 0F 13 01 F1 F0 33 FF 20 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE +B7 1E 00 0F 93 8E 0E F0 93 01 90 00 63 1A D3 39 +13 02 00 00 B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 +13 01 01 0F 33 FF 20 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E F0 00 +93 8E 0E 0F 93 01 A0 00 63 1C D3 35 13 02 00 00 +B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 +33 FF 20 00 13 00 00 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 1C 52 FC B7 0E 0F 00 +93 8E FE 00 93 01 B0 00 63 1C D3 31 13 02 00 00 +B7 00 01 FF 93 80 00 F0 37 11 0F 0F 13 01 F1 F0 +33 FF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 1E 00 0F 93 8E 0E F0 93 01 C0 00 63 12 DF 2F +13 02 00 00 B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 +13 01 01 0F 13 00 00 00 33 FF 20 00 13 02 12 00 +93 02 20 00 E3 10 52 FE B7 0E F0 00 93 8E 0E 0F +93 01 D0 00 63 16 DF 2B 13 02 00 00 B7 00 FF 00 +93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 13 00 00 00 +13 00 00 00 33 FF 20 00 13 02 12 00 93 02 20 00 +E3 1E 52 FC B7 0E 0F 00 93 8E FE 00 93 01 E0 00 +63 18 DF 27 13 02 00 00 B7 00 01 FF 93 80 00 F0 +13 00 00 00 37 11 0F 0F 13 01 F1 F0 33 FF 20 00 +13 02 12 00 93 02 20 00 E3 10 52 FE B7 1E 00 0F +93 8E 0E F0 93 01 F0 00 63 1C DF 23 13 02 00 00 +B7 10 F0 0F 93 80 00 FF 13 00 00 00 37 F1 F0 F0 +13 01 01 0F 13 00 00 00 33 FF 20 00 13 02 12 00 +93 02 20 00 E3 1E 52 FC B7 0E F0 00 93 8E 0E 0F +93 01 00 01 63 1E DF 1F 13 02 00 00 B7 00 FF 00 +93 80 F0 0F 13 00 00 00 13 00 00 00 37 11 0F 0F +13 01 F1 F0 33 FF 20 00 13 02 12 00 93 02 20 00 +E3 1E 52 FC B7 0E 0F 00 93 8E FE 00 93 01 10 01 +63 10 DF 1D 13 02 00 00 37 11 0F 0F 13 01 F1 F0 +B7 00 01 FF 93 80 00 F0 33 FF 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 1E 00 0F 93 8E 0E F0 +93 01 20 01 63 16 DF 19 13 02 00 00 37 F1 F0 F0 +13 01 01 0F B7 10 F0 0F 93 80 00 FF 13 00 00 00 +33 FF 20 00 13 02 12 00 93 02 20 00 E3 10 52 FE +B7 0E F0 00 93 8E 0E 0F 93 01 30 01 63 1A DF 15 +13 02 00 00 37 11 0F 0F 13 01 F1 F0 B7 00 FF 00 +93 80 F0 0F 13 00 00 00 13 00 00 00 33 FF 20 00 +13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E 0F 00 +93 8E FE 00 93 01 40 01 63 1C DF 11 13 02 00 00 +37 11 0F 0F 13 01 F1 F0 13 00 00 00 B7 00 01 FF +93 80 00 F0 33 FF 20 00 13 02 12 00 93 02 20 00 +E3 10 52 FE B7 1E 00 0F 93 8E 0E F0 93 01 50 01 +63 10 DF 0F 13 02 00 00 37 F1 F0 F0 13 01 01 0F +13 00 00 00 B7 10 F0 0F 93 80 00 FF 13 00 00 00 +33 FF 20 00 13 02 12 00 93 02 20 00 E3 1E 52 FC +B7 0E F0 00 93 8E 0E 0F 93 01 60 01 63 12 DF 0B +13 02 00 00 37 11 0F 0F 13 01 F1 F0 13 00 00 00 +13 00 00 00 B7 00 FF 00 93 80 F0 0F 33 FF 20 00 +13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E 0F 00 +93 8E FE 00 93 01 70 01 63 14 DF 07 B7 00 01 FF +93 80 00 F0 33 71 10 00 93 0E 00 00 93 01 80 01 +63 18 D1 05 B7 00 FF 00 93 80 F0 0F 33 F1 00 00 +93 0E 00 00 93 01 90 01 63 1C D1 03 B3 70 00 00 +93 0E 00 00 93 01 A0 01 63 94 D0 03 B7 10 11 11 +93 80 10 11 37 21 22 22 13 01 21 22 33 F0 20 00 +93 0E 00 00 93 01 B0 01 63 14 D0 01 63 18 30 00 +93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 +13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000540 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-andi b/tests/isa/generated/rv32ui-p-andi old mode 100644 new mode 100755 index 31c181d..53d8e00 Binary files a/tests/isa/generated/rv32ui-p-andi and b/tests/isa/generated/rv32ui-p-andi differ diff --git a/tests/isa/generated/rv32ui-p-andi.bin b/tests/isa/generated/rv32ui-p-andi.bin old mode 100644 new mode 100755 index 615827f..7ce96c0 Binary files a/tests/isa/generated/rv32ui-p-andi.bin and b/tests/isa/generated/rv32ui-p-andi.bin differ diff --git a/tests/isa/generated/rv32ui-p-andi.dump b/tests/isa/generated/rv32ui-p-andi.dump index 7d5de3a..a5446da 100644 --- a/tests/isa/generated/rv32ui-p-andi.dump +++ b/tests/isa/generated/rv32ui-p-andi.dump @@ -147,15 +147,15 @@ Disassembly of section .text.init: 1c4: 00301863 bne zero,gp,1d4 000001c8 : - 1c8: 00100d13 li s10,1 - 1cc: 00000d93 li s11,0 + 1c8: 00000d93 li s11,0 + 1cc: 00100d13 li s10,1 000001d0 : 1d0: 0000006f j 1d0 000001d4 : - 1d4: 00100d13 li s10,1 - 1d8: 00100d93 li s11,1 + 1d4: 00100d93 li s11,1 + 1d8: 00100d13 li s10,1 000001dc : 1dc: 0000006f j 1dc @@ -168,3 +168,18 @@ Disassembly of section .tohost: 00000280 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-andi.mem b/tests/isa/generated/rv32ui-p-andi.mem new file mode 100644 index 0000000..26f6d58 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-andi.mem @@ -0,0 +1,162 @@ +00000d13 +00000d93 +ff0100b7 +f0008093 +f0f0ff13 +ff010eb7 +f00e8e93 +00200193 +1bdf1463 +0ff010b7 +ff008093 +0f00ff13 +0f000e93 +00300193 +19df1863 +00ff00b7 +0ff08093 +70f0ff13 +00f00e93 +00400193 +17df1c63 +f00ff0b7 +00f08093 +0f00ff13 +00000e93 +00500193 +17df1063 +ff0100b7 +f0008093 +0f00f093 +00000e93 +00600193 +15d09463 +00000213 +0ff010b7 +ff008093 +70f0ff13 +000f0313 +00120213 +00200293 +fe5214e3 +70000e93 +00700193 +11d31e63 +00000213 +00ff00b7 +0ff08093 +0f00ff13 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +0f000e93 +00800193 +0fd31663 +00000213 +f00ff0b7 +00f08093 +f0f0ff13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +f00ffeb7 +00fe8e93 +00900193 +0bd31a63 +00000213 +0ff010b7 +ff008093 +70f0ff13 +00120213 +00200293 +fe5216e3 +70000e93 +00a00193 +09df1663 +00000213 +00ff00b7 +0ff08093 +00000013 +0f00ff13 +00120213 +00200293 +fe5214e3 +0f000e93 +00b00193 +07df1063 +00000213 +f00ff0b7 +00f08093 +00000013 +00000013 +70f0ff13 +00120213 +00200293 +fe5212e3 +00f00e93 +00c00193 +03df1863 +0f007093 +00000e93 +00d00193 +03d09063 +00ff00b7 +0ff08093 +70f0f013 +00000e93 +00e00193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-andi.verilog b/tests/isa/generated/rv32ui-p-andi.verilog old mode 100644 new mode 100755 index 6da253e..d3f5837 --- a/tests/isa/generated/rv32ui-p-andi.verilog +++ b/tests/isa/generated/rv32ui-p-andi.verilog @@ -1,40 +1,40 @@ -@00000000 -13 0D 00 00 93 0D 00 00 B7 00 01 FF 93 80 00 F0 -13 FF F0 F0 B7 0E 01 FF 93 8E 0E F0 93 01 20 00 -63 14 DF 1B B7 10 F0 0F 93 80 00 FF 13 FF 00 0F -93 0E 00 0F 93 01 30 00 63 18 DF 19 B7 00 FF 00 -93 80 F0 0F 13 FF F0 70 93 0E F0 00 93 01 40 00 -63 1C DF 17 B7 F0 0F F0 93 80 F0 00 13 FF 00 0F -93 0E 00 00 93 01 50 00 63 10 DF 17 B7 00 01 FF -93 80 00 F0 93 F0 00 0F 93 0E 00 00 93 01 60 00 -63 94 D0 15 13 02 00 00 B7 10 F0 0F 93 80 00 FF -13 FF F0 70 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 00 70 93 01 70 00 63 1E D3 11 -13 02 00 00 B7 00 FF 00 93 80 F0 0F 13 FF 00 0F -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 00 0F 93 01 80 00 63 16 D3 0F -13 02 00 00 B7 F0 0F F0 93 80 F0 00 13 FF F0 F0 -13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 -93 02 20 00 E3 10 52 FE B7 FE 0F F0 93 8E FE 00 -93 01 90 00 63 1A D3 0B 13 02 00 00 B7 10 F0 0F -93 80 00 FF 13 FF F0 70 13 02 12 00 93 02 20 00 -E3 16 52 FE 93 0E 00 70 93 01 A0 00 63 16 DF 09 -13 02 00 00 B7 00 FF 00 93 80 F0 0F 13 00 00 00 -13 FF 00 0F 13 02 12 00 93 02 20 00 E3 14 52 FE -93 0E 00 0F 93 01 B0 00 63 10 DF 07 13 02 00 00 -B7 F0 0F F0 93 80 F0 00 13 00 00 00 13 00 00 00 -13 FF F0 70 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E F0 00 93 01 C0 00 63 18 DF 03 93 70 00 0F -93 0E 00 00 93 01 D0 00 63 90 D0 03 B7 00 FF 00 -93 80 F0 0F 13 F0 F0 70 93 0E 00 00 93 01 E0 00 -63 14 D0 01 63 18 30 00 13 0D 10 00 93 0D 00 00 -6F 00 00 00 13 0D 10 00 93 0D 10 00 6F 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000240 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 B7 00 01 FF 93 80 00 F0 +13 FF F0 F0 B7 0E 01 FF 93 8E 0E F0 93 01 20 00 +63 14 DF 1B B7 10 F0 0F 93 80 00 FF 13 FF 00 0F +93 0E 00 0F 93 01 30 00 63 18 DF 19 B7 00 FF 00 +93 80 F0 0F 13 FF F0 70 93 0E F0 00 93 01 40 00 +63 1C DF 17 B7 F0 0F F0 93 80 F0 00 13 FF 00 0F +93 0E 00 00 93 01 50 00 63 10 DF 17 B7 00 01 FF +93 80 00 F0 93 F0 00 0F 93 0E 00 00 93 01 60 00 +63 94 D0 15 13 02 00 00 B7 10 F0 0F 93 80 00 FF +13 FF F0 70 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 00 70 93 01 70 00 63 1E D3 11 +13 02 00 00 B7 00 FF 00 93 80 F0 0F 13 FF 00 0F +13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 00 0F 93 01 80 00 63 16 D3 0F +13 02 00 00 B7 F0 0F F0 93 80 F0 00 13 FF F0 F0 +13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 10 52 FE B7 FE 0F F0 93 8E FE 00 +93 01 90 00 63 1A D3 0B 13 02 00 00 B7 10 F0 0F +93 80 00 FF 13 FF F0 70 13 02 12 00 93 02 20 00 +E3 16 52 FE 93 0E 00 70 93 01 A0 00 63 16 DF 09 +13 02 00 00 B7 00 FF 00 93 80 F0 0F 13 00 00 00 +13 FF 00 0F 13 02 12 00 93 02 20 00 E3 14 52 FE +93 0E 00 0F 93 01 B0 00 63 10 DF 07 13 02 00 00 +B7 F0 0F F0 93 80 F0 00 13 00 00 00 13 00 00 00 +13 FF F0 70 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E F0 00 93 01 C0 00 63 18 DF 03 93 70 00 0F +93 0E 00 00 93 01 D0 00 63 90 D0 03 B7 00 FF 00 +93 80 F0 0F 13 F0 F0 70 93 0E 00 00 93 01 E0 00 +63 14 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 +6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000240 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-auipc b/tests/isa/generated/rv32ui-p-auipc old mode 100644 new mode 100755 index ecdc68f..f0a4897 Binary files a/tests/isa/generated/rv32ui-p-auipc and b/tests/isa/generated/rv32ui-p-auipc differ diff --git a/tests/isa/generated/rv32ui-p-auipc.bin b/tests/isa/generated/rv32ui-p-auipc.bin old mode 100644 new mode 100755 index 10fa629..9570c41 Binary files a/tests/isa/generated/rv32ui-p-auipc.bin and b/tests/isa/generated/rv32ui-p-auipc.bin differ diff --git a/tests/isa/generated/rv32ui-p-auipc.dump b/tests/isa/generated/rv32ui-p-auipc.dump index 3789517..65a8289 100644 --- a/tests/isa/generated/rv32ui-p-auipc.dump +++ b/tests/isa/generated/rv32ui-p-auipc.dump @@ -30,15 +30,15 @@ Disassembly of section .text.init: 48: 00301863 bne zero,gp,58 0000004c : - 4c: 00100d13 li s10,1 - 50: 00000d93 li s11,0 + 4c: 00000d93 li s11,0 + 50: 00100d13 li s10,1 00000054 : 54: 0000006f j 54 00000058 : - 58: 00100d13 li s10,1 - 5c: 00100d93 li s11,1 + 58: 00100d93 li s11,1 + 5c: 00100d13 li s10,1 00000060 : 60: 0000006f j 60 @@ -51,3 +51,18 @@ Disassembly of section .tohost: 000000c0 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-auipc.mem b/tests/isa/generated/rv32ui-p-auipc.mem new file mode 100644 index 0000000..c6a5624 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-auipc.mem @@ -0,0 +1,50 @@ +00000d13 +00000d93 +00002517 +71c50513 +004005ef +40b50533 +00002eb7 +710e8e93 +00200193 +03d51463 +ffffe517 +8fc50513 +004005ef +40b50533 +ffffeeb7 +8f0e8e93 +00300193 +01d51463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-auipc.verilog b/tests/isa/generated/rv32ui-p-auipc.verilog old mode 100644 new mode 100755 index 240076c..4998fd5 --- a/tests/isa/generated/rv32ui-p-auipc.verilog +++ b/tests/isa/generated/rv32ui-p-auipc.verilog @@ -1,15 +1,15 @@ -@00000000 -13 0D 00 00 93 0D 00 00 17 25 00 00 13 05 C5 71 -EF 05 40 00 33 05 B5 40 B7 2E 00 00 93 8E 0E 71 -93 01 20 00 63 14 D5 03 17 E5 FF FF 13 05 C5 8F -EF 05 40 00 33 05 B5 40 B7 EE FF FF 93 8E 0E 8F -93 01 30 00 63 14 D5 01 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 -@00000080 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 17 25 00 00 13 05 C5 71 +EF 05 40 00 33 05 B5 40 B7 2E 00 00 93 8E 0E 71 +93 01 20 00 63 14 D5 03 17 E5 FF FF 13 05 C5 8F +EF 05 40 00 33 05 B5 40 B7 EE FF FF 93 8E 0E 8F +93 01 30 00 63 14 D5 01 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 +@00000080 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-beq b/tests/isa/generated/rv32ui-p-beq old mode 100644 new mode 100755 index 24d5466..edda2e0 Binary files a/tests/isa/generated/rv32ui-p-beq and b/tests/isa/generated/rv32ui-p-beq differ diff --git a/tests/isa/generated/rv32ui-p-beq.bin b/tests/isa/generated/rv32ui-p-beq.bin old mode 100644 new mode 100755 index 68b5c51..5c86f36 Binary files a/tests/isa/generated/rv32ui-p-beq.bin and b/tests/isa/generated/rv32ui-p-beq.bin differ diff --git a/tests/isa/generated/rv32ui-p-beq.dump b/tests/isa/generated/rv32ui-p-beq.dump index 9a5b7cc..1b83827 100644 --- a/tests/isa/generated/rv32ui-p-beq.dump +++ b/tests/isa/generated/rv32ui-p-beq.dump @@ -225,15 +225,15 @@ Disassembly of section .text.init: 2c4: 00301863 bne zero,gp,2d4 000002c8 : - 2c8: 00100d13 li s10,1 - 2cc: 00000d93 li s11,0 + 2c8: 00000d93 li s11,0 + 2cc: 00100d13 li s10,1 000002d0 : 2d0: 0000006f j 2d0 000002d4 : - 2d4: 00100d13 li s10,1 - 2d8: 00100d93 li s11,1 + 2d4: 00100d93 li s11,1 + 2d8: 00100d13 li s10,1 000002dc : 2dc: 0000006f j 2dc @@ -246,3 +246,18 @@ Disassembly of section .tohost: 00000380 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-beq.mem b/tests/isa/generated/rv32ui-p-beq.mem new file mode 100644 index 0000000..cacafe1 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-beq.mem @@ -0,0 +1,226 @@ +00000d13 +00000d93 +00200193 +00000093 +00000113 +00208663 +2a301863 +00301663 +fe208ee3 +2a301263 +00300193 +00100093 +00100113 +00208663 +28301863 +00301663 +fe208ee3 +28301263 +00400193 +fff00093 +fff00113 +00208663 +26301863 +00301663 +fe208ee3 +26301263 +00500193 +00000093 +00100113 +00208463 +00301463 +24301663 +fe208ee3 +00600193 +00100093 +00000113 +00208463 +00301463 +22301863 +fe208ee3 +00700193 +fff00093 +00100113 +00208463 +00301463 +20301a63 +fe208ee3 +00800193 +00100093 +fff00113 +00208463 +00301463 +1e301c63 +fe208ee3 +00900193 +00000213 +00000093 +fff00113 +1e208063 +00120213 +00200293 +fe5216e3 +00a00193 +00000213 +00000093 +fff00113 +00000013 +1a208e63 +00120213 +00200293 +fe5214e3 +00b00193 +00000213 +00000093 +fff00113 +00000013 +00000013 +18208a63 +00120213 +00200293 +fe5212e3 +00c00193 +00000213 +00000093 +00000013 +fff00113 +16208863 +00120213 +00200293 +fe5214e3 +00d00193 +00000213 +00000093 +00000013 +fff00113 +00000013 +14208463 +00120213 +00200293 +fe5212e3 +00e00193 +00000213 +00000093 +00000013 +00000013 +fff00113 +12208063 +00120213 +00200293 +fe5212e3 +00f00193 +00000213 +00000093 +fff00113 +10208063 +00120213 +00200293 +fe5216e3 +01000193 +00000213 +00000093 +fff00113 +00000013 +0c208e63 +00120213 +00200293 +fe5214e3 +01100193 +00000213 +00000093 +fff00113 +00000013 +00000013 +0a208a63 +00120213 +00200293 +fe5212e3 +01200193 +00000213 +00000093 +00000013 +fff00113 +08208863 +00120213 +00200293 +fe5214e3 +01300193 +00000213 +00000093 +00000013 +fff00113 +00000013 +06208463 +00120213 +00200293 +fe5212e3 +01400193 +00000213 +00000093 +00000013 +00000013 +fff00113 +04208063 +00120213 +00200293 +fe5212e3 +00100093 +00000a63 +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +01500193 +01d09463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-beq.verilog b/tests/isa/generated/rv32ui-p-beq.verilog old mode 100644 new mode 100755 index dd5de24..89ab0c1 --- a/tests/isa/generated/rv32ui-p-beq.verilog +++ b/tests/isa/generated/rv32ui-p-beq.verilog @@ -1,56 +1,56 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 -13 01 00 00 63 86 20 00 63 18 30 2A 63 16 30 00 -E3 8E 20 FE 63 12 30 2A 93 01 30 00 93 00 10 00 -13 01 10 00 63 86 20 00 63 18 30 28 63 16 30 00 -E3 8E 20 FE 63 12 30 28 93 01 40 00 93 00 F0 FF -13 01 F0 FF 63 86 20 00 63 18 30 26 63 16 30 00 -E3 8E 20 FE 63 12 30 26 93 01 50 00 93 00 00 00 -13 01 10 00 63 84 20 00 63 14 30 00 63 16 30 24 -E3 8E 20 FE 93 01 60 00 93 00 10 00 13 01 00 00 -63 84 20 00 63 14 30 00 63 18 30 22 E3 8E 20 FE -93 01 70 00 93 00 F0 FF 13 01 10 00 63 84 20 00 -63 14 30 00 63 1A 30 20 E3 8E 20 FE 93 01 80 00 -93 00 10 00 13 01 F0 FF 63 84 20 00 63 14 30 00 -63 1C 30 1E E3 8E 20 FE 93 01 90 00 13 02 00 00 -93 00 00 00 13 01 F0 FF 63 80 20 1E 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 01 A0 00 13 02 00 00 -93 00 00 00 13 01 F0 FF 13 00 00 00 63 8E 20 1A -13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 B0 00 -13 02 00 00 93 00 00 00 13 01 F0 FF 13 00 00 00 -13 00 00 00 63 8A 20 18 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 C0 00 13 02 00 00 93 00 00 00 -13 00 00 00 13 01 F0 FF 63 88 20 16 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 01 D0 00 13 02 00 00 -93 00 00 00 13 00 00 00 13 01 F0 FF 13 00 00 00 -63 84 20 14 13 02 12 00 93 02 20 00 E3 12 52 FE -93 01 E0 00 13 02 00 00 93 00 00 00 13 00 00 00 -13 00 00 00 13 01 F0 FF 63 80 20 12 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 F0 00 13 02 00 00 -93 00 00 00 13 01 F0 FF 63 80 20 10 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 01 00 01 13 02 00 00 -93 00 00 00 13 01 F0 FF 13 00 00 00 63 8E 20 0C -13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 10 01 -13 02 00 00 93 00 00 00 13 01 F0 FF 13 00 00 00 -13 00 00 00 63 8A 20 0A 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 20 01 13 02 00 00 93 00 00 00 -13 00 00 00 13 01 F0 FF 63 88 20 08 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 01 30 01 13 02 00 00 -93 00 00 00 13 00 00 00 13 01 F0 FF 13 00 00 00 -63 84 20 06 13 02 12 00 93 02 20 00 E3 12 52 FE -93 01 40 01 13 02 00 00 93 00 00 00 13 00 00 00 -13 00 00 00 13 01 F0 FF 63 80 20 04 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 00 10 00 63 0A 00 00 -93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00 -93 80 10 00 93 80 10 00 93 0E 30 00 93 01 50 01 -63 94 D0 01 63 18 30 00 13 0D 10 00 93 0D 00 00 -6F 00 00 00 13 0D 10 00 93 0D 10 00 6F 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000340 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 +13 01 00 00 63 86 20 00 63 18 30 2A 63 16 30 00 +E3 8E 20 FE 63 12 30 2A 93 01 30 00 93 00 10 00 +13 01 10 00 63 86 20 00 63 18 30 28 63 16 30 00 +E3 8E 20 FE 63 12 30 28 93 01 40 00 93 00 F0 FF +13 01 F0 FF 63 86 20 00 63 18 30 26 63 16 30 00 +E3 8E 20 FE 63 12 30 26 93 01 50 00 93 00 00 00 +13 01 10 00 63 84 20 00 63 14 30 00 63 16 30 24 +E3 8E 20 FE 93 01 60 00 93 00 10 00 13 01 00 00 +63 84 20 00 63 14 30 00 63 18 30 22 E3 8E 20 FE +93 01 70 00 93 00 F0 FF 13 01 10 00 63 84 20 00 +63 14 30 00 63 1A 30 20 E3 8E 20 FE 93 01 80 00 +93 00 10 00 13 01 F0 FF 63 84 20 00 63 14 30 00 +63 1C 30 1E E3 8E 20 FE 93 01 90 00 13 02 00 00 +93 00 00 00 13 01 F0 FF 63 80 20 1E 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 01 A0 00 13 02 00 00 +93 00 00 00 13 01 F0 FF 13 00 00 00 63 8E 20 1A +13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 B0 00 +13 02 00 00 93 00 00 00 13 01 F0 FF 13 00 00 00 +13 00 00 00 63 8A 20 18 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 C0 00 13 02 00 00 93 00 00 00 +13 00 00 00 13 01 F0 FF 63 88 20 16 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 01 D0 00 13 02 00 00 +93 00 00 00 13 00 00 00 13 01 F0 FF 13 00 00 00 +63 84 20 14 13 02 12 00 93 02 20 00 E3 12 52 FE +93 01 E0 00 13 02 00 00 93 00 00 00 13 00 00 00 +13 00 00 00 13 01 F0 FF 63 80 20 12 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 01 F0 00 13 02 00 00 +93 00 00 00 13 01 F0 FF 63 80 20 10 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 01 00 01 13 02 00 00 +93 00 00 00 13 01 F0 FF 13 00 00 00 63 8E 20 0C +13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 10 01 +13 02 00 00 93 00 00 00 13 01 F0 FF 13 00 00 00 +13 00 00 00 63 8A 20 0A 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 20 01 13 02 00 00 93 00 00 00 +13 00 00 00 13 01 F0 FF 63 88 20 08 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 01 30 01 13 02 00 00 +93 00 00 00 13 00 00 00 13 01 F0 FF 13 00 00 00 +63 84 20 06 13 02 12 00 93 02 20 00 E3 12 52 FE +93 01 40 01 13 02 00 00 93 00 00 00 13 00 00 00 +13 00 00 00 13 01 F0 FF 63 80 20 04 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 00 10 00 63 0A 00 00 +93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00 +93 80 10 00 93 80 10 00 93 0E 30 00 93 01 50 01 +63 94 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 +6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000340 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-bge b/tests/isa/generated/rv32ui-p-bge old mode 100644 new mode 100755 index 15b96e5..59c2833 Binary files a/tests/isa/generated/rv32ui-p-bge and b/tests/isa/generated/rv32ui-p-bge differ diff --git a/tests/isa/generated/rv32ui-p-bge.bin b/tests/isa/generated/rv32ui-p-bge.bin old mode 100644 new mode 100755 index 4a173e5..e574fb4 Binary files a/tests/isa/generated/rv32ui-p-bge.bin and b/tests/isa/generated/rv32ui-p-bge.bin differ diff --git a/tests/isa/generated/rv32ui-p-bge.dump b/tests/isa/generated/rv32ui-p-bge.dump index d41cd2f..e55a855 100644 --- a/tests/isa/generated/rv32ui-p-bge.dump +++ b/tests/isa/generated/rv32ui-p-bge.dump @@ -255,15 +255,15 @@ Disassembly of section .text.init: 324: 00301863 bne zero,gp,334 00000328 : - 328: 00100d13 li s10,1 - 32c: 00000d93 li s11,0 + 328: 00000d93 li s11,0 + 32c: 00100d13 li s10,1 00000330 : 330: 0000006f j 330 00000334 : - 334: 00100d13 li s10,1 - 338: 00100d93 li s11,1 + 334: 00100d93 li s11,1 + 338: 00100d13 li s10,1 0000033c : 33c: 0000006f j 33c @@ -277,3 +277,18 @@ Disassembly of section .tohost: 000003c0 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-bge.mem b/tests/isa/generated/rv32ui-p-bge.mem new file mode 100644 index 0000000..fde8768 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-bge.mem @@ -0,0 +1,242 @@ +00000d13 +00000d93 +00200193 +00000093 +00000113 +0020d663 +30301863 +00301663 +fe20dee3 +30301263 +00300193 +00100093 +00100113 +0020d663 +2e301863 +00301663 +fe20dee3 +2e301263 +00400193 +fff00093 +fff00113 +0020d663 +2c301863 +00301663 +fe20dee3 +2c301263 +00500193 +00100093 +00000113 +0020d663 +2a301863 +00301663 +fe20dee3 +2a301263 +00600193 +00100093 +fff00113 +0020d663 +28301863 +00301663 +fe20dee3 +28301263 +00700193 +fff00093 +ffe00113 +0020d663 +26301863 +00301663 +fe20dee3 +26301263 +00800193 +00000093 +00100113 +0020d463 +00301463 +24301663 +fe20dee3 +00900193 +fff00093 +00100113 +0020d463 +00301463 +22301863 +fe20dee3 +00a00193 +ffe00093 +fff00113 +0020d463 +00301463 +20301a63 +fe20dee3 +00b00193 +ffe00093 +00100113 +0020d463 +00301463 +1e301c63 +fe20dee3 +00c00193 +00000213 +fff00093 +00000113 +1e20d063 +00120213 +00200293 +fe5216e3 +00d00193 +00000213 +fff00093 +00000113 +00000013 +1a20de63 +00120213 +00200293 +fe5214e3 +00e00193 +00000213 +fff00093 +00000113 +00000013 +00000013 +1820da63 +00120213 +00200293 +fe5212e3 +00f00193 +00000213 +fff00093 +00000013 +00000113 +1620d863 +00120213 +00200293 +fe5214e3 +01000193 +00000213 +fff00093 +00000013 +00000113 +00000013 +1420d463 +00120213 +00200293 +fe5212e3 +01100193 +00000213 +fff00093 +00000013 +00000013 +00000113 +1220d063 +00120213 +00200293 +fe5212e3 +01200193 +00000213 +fff00093 +00000113 +1020d063 +00120213 +00200293 +fe5216e3 +01300193 +00000213 +fff00093 +00000113 +00000013 +0c20de63 +00120213 +00200293 +fe5214e3 +01400193 +00000213 +fff00093 +00000113 +00000013 +00000013 +0a20da63 +00120213 +00200293 +fe5212e3 +01500193 +00000213 +fff00093 +00000013 +00000113 +0820d863 +00120213 +00200293 +fe5214e3 +01600193 +00000213 +fff00093 +00000013 +00000113 +00000013 +0620d463 +00120213 +00200293 +fe5212e3 +01700193 +00000213 +fff00093 +00000013 +00000013 +00000113 +0420d063 +00120213 +00200293 +fe5212e3 +00100093 +0000da63 +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +01800193 +01d09463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-bge.verilog b/tests/isa/generated/rv32ui-p-bge.verilog old mode 100644 new mode 100755 index 2df6e9b..ef2b83e --- a/tests/isa/generated/rv32ui-p-bge.verilog +++ b/tests/isa/generated/rv32ui-p-bge.verilog @@ -1,60 +1,60 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 -13 01 00 00 63 D6 20 00 63 18 30 30 63 16 30 00 -E3 DE 20 FE 63 12 30 30 93 01 30 00 93 00 10 00 -13 01 10 00 63 D6 20 00 63 18 30 2E 63 16 30 00 -E3 DE 20 FE 63 12 30 2E 93 01 40 00 93 00 F0 FF -13 01 F0 FF 63 D6 20 00 63 18 30 2C 63 16 30 00 -E3 DE 20 FE 63 12 30 2C 93 01 50 00 93 00 10 00 -13 01 00 00 63 D6 20 00 63 18 30 2A 63 16 30 00 -E3 DE 20 FE 63 12 30 2A 93 01 60 00 93 00 10 00 -13 01 F0 FF 63 D6 20 00 63 18 30 28 63 16 30 00 -E3 DE 20 FE 63 12 30 28 93 01 70 00 93 00 F0 FF -13 01 E0 FF 63 D6 20 00 63 18 30 26 63 16 30 00 -E3 DE 20 FE 63 12 30 26 93 01 80 00 93 00 00 00 -13 01 10 00 63 D4 20 00 63 14 30 00 63 16 30 24 -E3 DE 20 FE 93 01 90 00 93 00 F0 FF 13 01 10 00 -63 D4 20 00 63 14 30 00 63 18 30 22 E3 DE 20 FE -93 01 A0 00 93 00 E0 FF 13 01 F0 FF 63 D4 20 00 -63 14 30 00 63 1A 30 20 E3 DE 20 FE 93 01 B0 00 -93 00 E0 FF 13 01 10 00 63 D4 20 00 63 14 30 00 -63 1C 30 1E E3 DE 20 FE 93 01 C0 00 13 02 00 00 -93 00 F0 FF 13 01 00 00 63 D0 20 1E 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 01 D0 00 13 02 00 00 -93 00 F0 FF 13 01 00 00 13 00 00 00 63 DE 20 1A -13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 E0 00 -13 02 00 00 93 00 F0 FF 13 01 00 00 13 00 00 00 -13 00 00 00 63 DA 20 18 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 F0 00 13 02 00 00 93 00 F0 FF -13 00 00 00 13 01 00 00 63 D8 20 16 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 01 00 01 13 02 00 00 -93 00 F0 FF 13 00 00 00 13 01 00 00 13 00 00 00 -63 D4 20 14 13 02 12 00 93 02 20 00 E3 12 52 FE -93 01 10 01 13 02 00 00 93 00 F0 FF 13 00 00 00 -13 00 00 00 13 01 00 00 63 D0 20 12 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 20 01 13 02 00 00 -93 00 F0 FF 13 01 00 00 63 D0 20 10 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 01 30 01 13 02 00 00 -93 00 F0 FF 13 01 00 00 13 00 00 00 63 DE 20 0C -13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 40 01 -13 02 00 00 93 00 F0 FF 13 01 00 00 13 00 00 00 -13 00 00 00 63 DA 20 0A 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 50 01 13 02 00 00 93 00 F0 FF -13 00 00 00 13 01 00 00 63 D8 20 08 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 01 60 01 13 02 00 00 -93 00 F0 FF 13 00 00 00 13 01 00 00 13 00 00 00 -63 D4 20 06 13 02 12 00 93 02 20 00 E3 12 52 FE -93 01 70 01 13 02 00 00 93 00 F0 FF 13 00 00 00 -13 00 00 00 13 01 00 00 63 D0 20 04 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 00 10 00 63 DA 00 00 -93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00 -93 80 10 00 93 80 10 00 93 0E 30 00 93 01 80 01 -63 94 D0 01 63 18 30 00 13 0D 10 00 93 0D 00 00 -6F 00 00 00 13 0D 10 00 93 0D 10 00 6F 00 00 00 -00 00 00 00 -@00000380 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 +13 01 00 00 63 D6 20 00 63 18 30 30 63 16 30 00 +E3 DE 20 FE 63 12 30 30 93 01 30 00 93 00 10 00 +13 01 10 00 63 D6 20 00 63 18 30 2E 63 16 30 00 +E3 DE 20 FE 63 12 30 2E 93 01 40 00 93 00 F0 FF +13 01 F0 FF 63 D6 20 00 63 18 30 2C 63 16 30 00 +E3 DE 20 FE 63 12 30 2C 93 01 50 00 93 00 10 00 +13 01 00 00 63 D6 20 00 63 18 30 2A 63 16 30 00 +E3 DE 20 FE 63 12 30 2A 93 01 60 00 93 00 10 00 +13 01 F0 FF 63 D6 20 00 63 18 30 28 63 16 30 00 +E3 DE 20 FE 63 12 30 28 93 01 70 00 93 00 F0 FF +13 01 E0 FF 63 D6 20 00 63 18 30 26 63 16 30 00 +E3 DE 20 FE 63 12 30 26 93 01 80 00 93 00 00 00 +13 01 10 00 63 D4 20 00 63 14 30 00 63 16 30 24 +E3 DE 20 FE 93 01 90 00 93 00 F0 FF 13 01 10 00 +63 D4 20 00 63 14 30 00 63 18 30 22 E3 DE 20 FE +93 01 A0 00 93 00 E0 FF 13 01 F0 FF 63 D4 20 00 +63 14 30 00 63 1A 30 20 E3 DE 20 FE 93 01 B0 00 +93 00 E0 FF 13 01 10 00 63 D4 20 00 63 14 30 00 +63 1C 30 1E E3 DE 20 FE 93 01 C0 00 13 02 00 00 +93 00 F0 FF 13 01 00 00 63 D0 20 1E 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 01 D0 00 13 02 00 00 +93 00 F0 FF 13 01 00 00 13 00 00 00 63 DE 20 1A +13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 E0 00 +13 02 00 00 93 00 F0 FF 13 01 00 00 13 00 00 00 +13 00 00 00 63 DA 20 18 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 F0 00 13 02 00 00 93 00 F0 FF +13 00 00 00 13 01 00 00 63 D8 20 16 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 01 00 01 13 02 00 00 +93 00 F0 FF 13 00 00 00 13 01 00 00 13 00 00 00 +63 D4 20 14 13 02 12 00 93 02 20 00 E3 12 52 FE +93 01 10 01 13 02 00 00 93 00 F0 FF 13 00 00 00 +13 00 00 00 13 01 00 00 63 D0 20 12 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 01 20 01 13 02 00 00 +93 00 F0 FF 13 01 00 00 63 D0 20 10 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 01 30 01 13 02 00 00 +93 00 F0 FF 13 01 00 00 13 00 00 00 63 DE 20 0C +13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 40 01 +13 02 00 00 93 00 F0 FF 13 01 00 00 13 00 00 00 +13 00 00 00 63 DA 20 0A 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 50 01 13 02 00 00 93 00 F0 FF +13 00 00 00 13 01 00 00 63 D8 20 08 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 01 60 01 13 02 00 00 +93 00 F0 FF 13 00 00 00 13 01 00 00 13 00 00 00 +63 D4 20 06 13 02 12 00 93 02 20 00 E3 12 52 FE +93 01 70 01 13 02 00 00 93 00 F0 FF 13 00 00 00 +13 00 00 00 13 01 00 00 63 D0 20 04 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 00 10 00 63 DA 00 00 +93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00 +93 80 10 00 93 80 10 00 93 0E 30 00 93 01 80 01 +63 94 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 +6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +00 00 00 00 +@00000380 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-bgeu b/tests/isa/generated/rv32ui-p-bgeu old mode 100644 new mode 100755 index 54c978d..c46fb5c Binary files a/tests/isa/generated/rv32ui-p-bgeu and b/tests/isa/generated/rv32ui-p-bgeu differ diff --git a/tests/isa/generated/rv32ui-p-bgeu.bin b/tests/isa/generated/rv32ui-p-bgeu.bin old mode 100644 new mode 100755 index de52b58..e1e9adb Binary files a/tests/isa/generated/rv32ui-p-bgeu.bin and b/tests/isa/generated/rv32ui-p-bgeu.bin differ diff --git a/tests/isa/generated/rv32ui-p-bgeu.dump b/tests/isa/generated/rv32ui-p-bgeu.dump index 8f15f29..f0dc293 100644 --- a/tests/isa/generated/rv32ui-p-bgeu.dump +++ b/tests/isa/generated/rv32ui-p-bgeu.dump @@ -268,15 +268,15 @@ Disassembly of section .text.init: 358: 00301863 bne zero,gp,368 0000035c : - 35c: 00100d13 li s10,1 - 360: 00000d93 li s11,0 + 35c: 00000d93 li s11,0 + 360: 00100d13 li s10,1 00000364 : 364: 0000006f j 364 00000368 : - 368: 00100d13 li s10,1 - 36c: 00100d93 li s11,1 + 368: 00100d93 li s11,1 + 36c: 00100d13 li s10,1 00000370 : 370: 0000006f j 370 @@ -289,3 +289,18 @@ Disassembly of section .tohost: 00000400 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-bgeu.mem b/tests/isa/generated/rv32ui-p-bgeu.mem new file mode 100644 index 0000000..94a6cec --- /dev/null +++ b/tests/isa/generated/rv32ui-p-bgeu.mem @@ -0,0 +1,258 @@ +00000d13 +00000d93 +00200193 +00000093 +00000113 +0020f663 +34301263 +00301663 +fe20fee3 +32301c63 +00300193 +00100093 +00100113 +0020f663 +32301263 +00301663 +fe20fee3 +30301c63 +00400193 +fff00093 +fff00113 +0020f663 +30301263 +00301663 +fe20fee3 +2e301c63 +00500193 +00100093 +00000113 +0020f663 +2e301263 +00301663 +fe20fee3 +2c301c63 +00600193 +fff00093 +ffe00113 +0020f663 +2c301263 +00301663 +fe20fee3 +2a301c63 +00700193 +fff00093 +00000113 +0020f663 +2a301263 +00301663 +fe20fee3 +28301c63 +00800193 +00000093 +00100113 +0020f463 +00301463 +28301063 +fe20fee3 +00900193 +ffe00093 +fff00113 +0020f463 +00301463 +26301263 +fe20fee3 +00a00193 +00000093 +fff00113 +0020f463 +00301463 +24301463 +fe20fee3 +00b00193 +800000b7 +fff08093 +80000137 +0020f463 +00301463 +22301463 +fe20fee3 +00c00193 +00000213 +f00000b7 +fff08093 +f0000137 +2020f663 +00120213 +00200293 +fe5214e3 +00d00193 +00000213 +f00000b7 +fff08093 +f0000137 +00000013 +1e20f263 +00120213 +00200293 +fe5212e3 +00e00193 +00000213 +f00000b7 +fff08093 +f0000137 +00000013 +00000013 +1a20fc63 +00120213 +00200293 +fe5210e3 +00f00193 +00000213 +f00000b7 +fff08093 +00000013 +f0000137 +1820f863 +00120213 +00200293 +fe5212e3 +01000193 +00000213 +f00000b7 +fff08093 +00000013 +f0000137 +00000013 +1620f263 +00120213 +00200293 +fe5210e3 +01100193 +00000213 +f00000b7 +fff08093 +00000013 +00000013 +f0000137 +1220fc63 +00120213 +00200293 +fe5210e3 +01200193 +00000213 +f00000b7 +fff08093 +f0000137 +1020fa63 +00120213 +00200293 +fe5214e3 +01300193 +00000213 +f00000b7 +fff08093 +f0000137 +00000013 +0e20f663 +00120213 +00200293 +fe5212e3 +01400193 +00000213 +f00000b7 +fff08093 +f0000137 +00000013 +00000013 +0c20f063 +00120213 +00200293 +fe5210e3 +01500193 +00000213 +f00000b7 +fff08093 +00000013 +f0000137 +0820fc63 +00120213 +00200293 +fe5212e3 +01600193 +00000213 +f00000b7 +fff08093 +00000013 +f0000137 +00000013 +0620f663 +00120213 +00200293 +fe5210e3 +01700193 +00000213 +f00000b7 +fff08093 +00000013 +00000013 +f0000137 +0420f063 +00120213 +00200293 +fe5210e3 +00100093 +0000fa63 +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +01800193 +01d09463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-bgeu.verilog b/tests/isa/generated/rv32ui-p-bgeu.verilog old mode 100644 new mode 100755 index 1771970..13e33c0 --- a/tests/isa/generated/rv32ui-p-bgeu.verilog +++ b/tests/isa/generated/rv32ui-p-bgeu.verilog @@ -1,64 +1,64 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 -13 01 00 00 63 F6 20 00 63 12 30 34 63 16 30 00 -E3 FE 20 FE 63 1C 30 32 93 01 30 00 93 00 10 00 -13 01 10 00 63 F6 20 00 63 12 30 32 63 16 30 00 -E3 FE 20 FE 63 1C 30 30 93 01 40 00 93 00 F0 FF -13 01 F0 FF 63 F6 20 00 63 12 30 30 63 16 30 00 -E3 FE 20 FE 63 1C 30 2E 93 01 50 00 93 00 10 00 -13 01 00 00 63 F6 20 00 63 12 30 2E 63 16 30 00 -E3 FE 20 FE 63 1C 30 2C 93 01 60 00 93 00 F0 FF -13 01 E0 FF 63 F6 20 00 63 12 30 2C 63 16 30 00 -E3 FE 20 FE 63 1C 30 2A 93 01 70 00 93 00 F0 FF -13 01 00 00 63 F6 20 00 63 12 30 2A 63 16 30 00 -E3 FE 20 FE 63 1C 30 28 93 01 80 00 93 00 00 00 -13 01 10 00 63 F4 20 00 63 14 30 00 63 10 30 28 -E3 FE 20 FE 93 01 90 00 93 00 E0 FF 13 01 F0 FF -63 F4 20 00 63 14 30 00 63 12 30 26 E3 FE 20 FE -93 01 A0 00 93 00 00 00 13 01 F0 FF 63 F4 20 00 -63 14 30 00 63 14 30 24 E3 FE 20 FE 93 01 B0 00 -B7 00 00 80 93 80 F0 FF 37 01 00 80 63 F4 20 00 -63 14 30 00 63 14 30 22 E3 FE 20 FE 93 01 C0 00 -13 02 00 00 B7 00 00 F0 93 80 F0 FF 37 01 00 F0 -63 F6 20 20 13 02 12 00 93 02 20 00 E3 14 52 FE -93 01 D0 00 13 02 00 00 B7 00 00 F0 93 80 F0 FF -37 01 00 F0 13 00 00 00 63 F2 20 1E 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 E0 00 13 02 00 00 -B7 00 00 F0 93 80 F0 FF 37 01 00 F0 13 00 00 00 -13 00 00 00 63 FC 20 1A 13 02 12 00 93 02 20 00 -E3 10 52 FE 93 01 F0 00 13 02 00 00 B7 00 00 F0 -93 80 F0 FF 13 00 00 00 37 01 00 F0 63 F8 20 18 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 00 01 -13 02 00 00 B7 00 00 F0 93 80 F0 FF 13 00 00 00 -37 01 00 F0 13 00 00 00 63 F2 20 16 13 02 12 00 -93 02 20 00 E3 10 52 FE 93 01 10 01 13 02 00 00 -B7 00 00 F0 93 80 F0 FF 13 00 00 00 13 00 00 00 -37 01 00 F0 63 FC 20 12 13 02 12 00 93 02 20 00 -E3 10 52 FE 93 01 20 01 13 02 00 00 B7 00 00 F0 -93 80 F0 FF 37 01 00 F0 63 FA 20 10 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 01 30 01 13 02 00 00 -B7 00 00 F0 93 80 F0 FF 37 01 00 F0 13 00 00 00 -63 F6 20 0E 13 02 12 00 93 02 20 00 E3 12 52 FE -93 01 40 01 13 02 00 00 B7 00 00 F0 93 80 F0 FF -37 01 00 F0 13 00 00 00 13 00 00 00 63 F0 20 0C -13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 50 01 -13 02 00 00 B7 00 00 F0 93 80 F0 FF 13 00 00 00 -37 01 00 F0 63 FC 20 08 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 60 01 13 02 00 00 B7 00 00 F0 -93 80 F0 FF 13 00 00 00 37 01 00 F0 13 00 00 00 -63 F6 20 06 13 02 12 00 93 02 20 00 E3 10 52 FE -93 01 70 01 13 02 00 00 B7 00 00 F0 93 80 F0 FF -13 00 00 00 13 00 00 00 37 01 00 F0 63 F0 20 04 -13 02 12 00 93 02 20 00 E3 10 52 FE 93 00 10 00 -63 FA 00 00 93 80 10 00 93 80 10 00 93 80 10 00 -93 80 10 00 93 80 10 00 93 80 10 00 93 0E 30 00 -93 01 80 01 63 94 D0 01 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@000003C0 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 +13 01 00 00 63 F6 20 00 63 12 30 34 63 16 30 00 +E3 FE 20 FE 63 1C 30 32 93 01 30 00 93 00 10 00 +13 01 10 00 63 F6 20 00 63 12 30 32 63 16 30 00 +E3 FE 20 FE 63 1C 30 30 93 01 40 00 93 00 F0 FF +13 01 F0 FF 63 F6 20 00 63 12 30 30 63 16 30 00 +E3 FE 20 FE 63 1C 30 2E 93 01 50 00 93 00 10 00 +13 01 00 00 63 F6 20 00 63 12 30 2E 63 16 30 00 +E3 FE 20 FE 63 1C 30 2C 93 01 60 00 93 00 F0 FF +13 01 E0 FF 63 F6 20 00 63 12 30 2C 63 16 30 00 +E3 FE 20 FE 63 1C 30 2A 93 01 70 00 93 00 F0 FF +13 01 00 00 63 F6 20 00 63 12 30 2A 63 16 30 00 +E3 FE 20 FE 63 1C 30 28 93 01 80 00 93 00 00 00 +13 01 10 00 63 F4 20 00 63 14 30 00 63 10 30 28 +E3 FE 20 FE 93 01 90 00 93 00 E0 FF 13 01 F0 FF +63 F4 20 00 63 14 30 00 63 12 30 26 E3 FE 20 FE +93 01 A0 00 93 00 00 00 13 01 F0 FF 63 F4 20 00 +63 14 30 00 63 14 30 24 E3 FE 20 FE 93 01 B0 00 +B7 00 00 80 93 80 F0 FF 37 01 00 80 63 F4 20 00 +63 14 30 00 63 14 30 22 E3 FE 20 FE 93 01 C0 00 +13 02 00 00 B7 00 00 F0 93 80 F0 FF 37 01 00 F0 +63 F6 20 20 13 02 12 00 93 02 20 00 E3 14 52 FE +93 01 D0 00 13 02 00 00 B7 00 00 F0 93 80 F0 FF +37 01 00 F0 13 00 00 00 63 F2 20 1E 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 01 E0 00 13 02 00 00 +B7 00 00 F0 93 80 F0 FF 37 01 00 F0 13 00 00 00 +13 00 00 00 63 FC 20 1A 13 02 12 00 93 02 20 00 +E3 10 52 FE 93 01 F0 00 13 02 00 00 B7 00 00 F0 +93 80 F0 FF 13 00 00 00 37 01 00 F0 63 F8 20 18 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 00 01 +13 02 00 00 B7 00 00 F0 93 80 F0 FF 13 00 00 00 +37 01 00 F0 13 00 00 00 63 F2 20 16 13 02 12 00 +93 02 20 00 E3 10 52 FE 93 01 10 01 13 02 00 00 +B7 00 00 F0 93 80 F0 FF 13 00 00 00 13 00 00 00 +37 01 00 F0 63 FC 20 12 13 02 12 00 93 02 20 00 +E3 10 52 FE 93 01 20 01 13 02 00 00 B7 00 00 F0 +93 80 F0 FF 37 01 00 F0 63 FA 20 10 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 01 30 01 13 02 00 00 +B7 00 00 F0 93 80 F0 FF 37 01 00 F0 13 00 00 00 +63 F6 20 0E 13 02 12 00 93 02 20 00 E3 12 52 FE +93 01 40 01 13 02 00 00 B7 00 00 F0 93 80 F0 FF +37 01 00 F0 13 00 00 00 13 00 00 00 63 F0 20 0C +13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 50 01 +13 02 00 00 B7 00 00 F0 93 80 F0 FF 13 00 00 00 +37 01 00 F0 63 FC 20 08 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 60 01 13 02 00 00 B7 00 00 F0 +93 80 F0 FF 13 00 00 00 37 01 00 F0 13 00 00 00 +63 F6 20 06 13 02 12 00 93 02 20 00 E3 10 52 FE +93 01 70 01 13 02 00 00 B7 00 00 F0 93 80 F0 FF +13 00 00 00 13 00 00 00 37 01 00 F0 63 F0 20 04 +13 02 12 00 93 02 20 00 E3 10 52 FE 93 00 10 00 +63 FA 00 00 93 80 10 00 93 80 10 00 93 80 10 00 +93 80 10 00 93 80 10 00 93 80 10 00 93 0E 30 00 +93 01 80 01 63 94 D0 01 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@000003C0 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-blt b/tests/isa/generated/rv32ui-p-blt old mode 100644 new mode 100755 index 4194810..3e4bc9f Binary files a/tests/isa/generated/rv32ui-p-blt and b/tests/isa/generated/rv32ui-p-blt differ diff --git a/tests/isa/generated/rv32ui-p-blt.bin b/tests/isa/generated/rv32ui-p-blt.bin old mode 100644 new mode 100755 index 8d3346e..3df9066 Binary files a/tests/isa/generated/rv32ui-p-blt.bin and b/tests/isa/generated/rv32ui-p-blt.bin differ diff --git a/tests/isa/generated/rv32ui-p-blt.dump b/tests/isa/generated/rv32ui-p-blt.dump index 4f6176f..486954e 100644 --- a/tests/isa/generated/rv32ui-p-blt.dump +++ b/tests/isa/generated/rv32ui-p-blt.dump @@ -225,15 +225,15 @@ Disassembly of section .text.init: 2c4: 00301863 bne zero,gp,2d4 000002c8 : - 2c8: 00100d13 li s10,1 - 2cc: 00000d93 li s11,0 + 2c8: 00000d93 li s11,0 + 2cc: 00100d13 li s10,1 000002d0 : 2d0: 0000006f j 2d0 000002d4 : - 2d4: 00100d13 li s10,1 - 2d8: 00100d93 li s11,1 + 2d4: 00100d93 li s11,1 + 2d8: 00100d13 li s10,1 000002dc : 2dc: 0000006f j 2dc @@ -246,3 +246,18 @@ Disassembly of section .tohost: 00000380 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-blt.mem b/tests/isa/generated/rv32ui-p-blt.mem new file mode 100644 index 0000000..4f9fe9e --- /dev/null +++ b/tests/isa/generated/rv32ui-p-blt.mem @@ -0,0 +1,226 @@ +00000d13 +00000d93 +00200193 +00000093 +00100113 +0020c663 +2a301863 +00301663 +fe20cee3 +2a301263 +00300193 +fff00093 +00100113 +0020c663 +28301863 +00301663 +fe20cee3 +28301263 +00400193 +ffe00093 +fff00113 +0020c663 +26301863 +00301663 +fe20cee3 +26301263 +00500193 +00100093 +00000113 +0020c463 +00301463 +24301663 +fe20cee3 +00600193 +00100093 +fff00113 +0020c463 +00301463 +22301863 +fe20cee3 +00700193 +fff00093 +ffe00113 +0020c463 +00301463 +20301a63 +fe20cee3 +00800193 +00100093 +ffe00113 +0020c463 +00301463 +1e301c63 +fe20cee3 +00900193 +00000213 +00000093 +fff00113 +1e20c063 +00120213 +00200293 +fe5216e3 +00a00193 +00000213 +00000093 +fff00113 +00000013 +1a20ce63 +00120213 +00200293 +fe5214e3 +00b00193 +00000213 +00000093 +fff00113 +00000013 +00000013 +1820ca63 +00120213 +00200293 +fe5212e3 +00c00193 +00000213 +00000093 +00000013 +fff00113 +1620c863 +00120213 +00200293 +fe5214e3 +00d00193 +00000213 +00000093 +00000013 +fff00113 +00000013 +1420c463 +00120213 +00200293 +fe5212e3 +00e00193 +00000213 +00000093 +00000013 +00000013 +fff00113 +1220c063 +00120213 +00200293 +fe5212e3 +00f00193 +00000213 +00000093 +fff00113 +1020c063 +00120213 +00200293 +fe5216e3 +01000193 +00000213 +00000093 +fff00113 +00000013 +0c20ce63 +00120213 +00200293 +fe5214e3 +01100193 +00000213 +00000093 +fff00113 +00000013 +00000013 +0a20ca63 +00120213 +00200293 +fe5212e3 +01200193 +00000213 +00000093 +00000013 +fff00113 +0820c863 +00120213 +00200293 +fe5214e3 +01300193 +00000213 +00000093 +00000013 +fff00113 +00000013 +0620c463 +00120213 +00200293 +fe5212e3 +01400193 +00000213 +00000093 +00000013 +00000013 +fff00113 +0420c063 +00120213 +00200293 +fe5212e3 +00100093 +00104a63 +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +01500193 +01d09463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-blt.verilog b/tests/isa/generated/rv32ui-p-blt.verilog old mode 100644 new mode 100755 index b0f22b3..eae92dc --- a/tests/isa/generated/rv32ui-p-blt.verilog +++ b/tests/isa/generated/rv32ui-p-blt.verilog @@ -1,56 +1,56 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 -13 01 10 00 63 C6 20 00 63 18 30 2A 63 16 30 00 -E3 CE 20 FE 63 12 30 2A 93 01 30 00 93 00 F0 FF -13 01 10 00 63 C6 20 00 63 18 30 28 63 16 30 00 -E3 CE 20 FE 63 12 30 28 93 01 40 00 93 00 E0 FF -13 01 F0 FF 63 C6 20 00 63 18 30 26 63 16 30 00 -E3 CE 20 FE 63 12 30 26 93 01 50 00 93 00 10 00 -13 01 00 00 63 C4 20 00 63 14 30 00 63 16 30 24 -E3 CE 20 FE 93 01 60 00 93 00 10 00 13 01 F0 FF -63 C4 20 00 63 14 30 00 63 18 30 22 E3 CE 20 FE -93 01 70 00 93 00 F0 FF 13 01 E0 FF 63 C4 20 00 -63 14 30 00 63 1A 30 20 E3 CE 20 FE 93 01 80 00 -93 00 10 00 13 01 E0 FF 63 C4 20 00 63 14 30 00 -63 1C 30 1E E3 CE 20 FE 93 01 90 00 13 02 00 00 -93 00 00 00 13 01 F0 FF 63 C0 20 1E 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 01 A0 00 13 02 00 00 -93 00 00 00 13 01 F0 FF 13 00 00 00 63 CE 20 1A -13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 B0 00 -13 02 00 00 93 00 00 00 13 01 F0 FF 13 00 00 00 -13 00 00 00 63 CA 20 18 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 C0 00 13 02 00 00 93 00 00 00 -13 00 00 00 13 01 F0 FF 63 C8 20 16 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 01 D0 00 13 02 00 00 -93 00 00 00 13 00 00 00 13 01 F0 FF 13 00 00 00 -63 C4 20 14 13 02 12 00 93 02 20 00 E3 12 52 FE -93 01 E0 00 13 02 00 00 93 00 00 00 13 00 00 00 -13 00 00 00 13 01 F0 FF 63 C0 20 12 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 F0 00 13 02 00 00 -93 00 00 00 13 01 F0 FF 63 C0 20 10 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 01 00 01 13 02 00 00 -93 00 00 00 13 01 F0 FF 13 00 00 00 63 CE 20 0C -13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 10 01 -13 02 00 00 93 00 00 00 13 01 F0 FF 13 00 00 00 -13 00 00 00 63 CA 20 0A 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 20 01 13 02 00 00 93 00 00 00 -13 00 00 00 13 01 F0 FF 63 C8 20 08 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 01 30 01 13 02 00 00 -93 00 00 00 13 00 00 00 13 01 F0 FF 13 00 00 00 -63 C4 20 06 13 02 12 00 93 02 20 00 E3 12 52 FE -93 01 40 01 13 02 00 00 93 00 00 00 13 00 00 00 -13 00 00 00 13 01 F0 FF 63 C0 20 04 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 00 10 00 63 4A 10 00 -93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00 -93 80 10 00 93 80 10 00 93 0E 30 00 93 01 50 01 -63 94 D0 01 63 18 30 00 13 0D 10 00 93 0D 00 00 -6F 00 00 00 13 0D 10 00 93 0D 10 00 6F 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000340 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 +13 01 10 00 63 C6 20 00 63 18 30 2A 63 16 30 00 +E3 CE 20 FE 63 12 30 2A 93 01 30 00 93 00 F0 FF +13 01 10 00 63 C6 20 00 63 18 30 28 63 16 30 00 +E3 CE 20 FE 63 12 30 28 93 01 40 00 93 00 E0 FF +13 01 F0 FF 63 C6 20 00 63 18 30 26 63 16 30 00 +E3 CE 20 FE 63 12 30 26 93 01 50 00 93 00 10 00 +13 01 00 00 63 C4 20 00 63 14 30 00 63 16 30 24 +E3 CE 20 FE 93 01 60 00 93 00 10 00 13 01 F0 FF +63 C4 20 00 63 14 30 00 63 18 30 22 E3 CE 20 FE +93 01 70 00 93 00 F0 FF 13 01 E0 FF 63 C4 20 00 +63 14 30 00 63 1A 30 20 E3 CE 20 FE 93 01 80 00 +93 00 10 00 13 01 E0 FF 63 C4 20 00 63 14 30 00 +63 1C 30 1E E3 CE 20 FE 93 01 90 00 13 02 00 00 +93 00 00 00 13 01 F0 FF 63 C0 20 1E 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 01 A0 00 13 02 00 00 +93 00 00 00 13 01 F0 FF 13 00 00 00 63 CE 20 1A +13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 B0 00 +13 02 00 00 93 00 00 00 13 01 F0 FF 13 00 00 00 +13 00 00 00 63 CA 20 18 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 C0 00 13 02 00 00 93 00 00 00 +13 00 00 00 13 01 F0 FF 63 C8 20 16 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 01 D0 00 13 02 00 00 +93 00 00 00 13 00 00 00 13 01 F0 FF 13 00 00 00 +63 C4 20 14 13 02 12 00 93 02 20 00 E3 12 52 FE +93 01 E0 00 13 02 00 00 93 00 00 00 13 00 00 00 +13 00 00 00 13 01 F0 FF 63 C0 20 12 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 01 F0 00 13 02 00 00 +93 00 00 00 13 01 F0 FF 63 C0 20 10 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 01 00 01 13 02 00 00 +93 00 00 00 13 01 F0 FF 13 00 00 00 63 CE 20 0C +13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 10 01 +13 02 00 00 93 00 00 00 13 01 F0 FF 13 00 00 00 +13 00 00 00 63 CA 20 0A 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 20 01 13 02 00 00 93 00 00 00 +13 00 00 00 13 01 F0 FF 63 C8 20 08 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 01 30 01 13 02 00 00 +93 00 00 00 13 00 00 00 13 01 F0 FF 13 00 00 00 +63 C4 20 06 13 02 12 00 93 02 20 00 E3 12 52 FE +93 01 40 01 13 02 00 00 93 00 00 00 13 00 00 00 +13 00 00 00 13 01 F0 FF 63 C0 20 04 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 00 10 00 63 4A 10 00 +93 80 10 00 93 80 10 00 93 80 10 00 93 80 10 00 +93 80 10 00 93 80 10 00 93 0E 30 00 93 01 50 01 +63 94 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 +6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000340 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-bltu b/tests/isa/generated/rv32ui-p-bltu old mode 100644 new mode 100755 index d1c2cd5..47a0ddf Binary files a/tests/isa/generated/rv32ui-p-bltu and b/tests/isa/generated/rv32ui-p-bltu differ diff --git a/tests/isa/generated/rv32ui-p-bltu.bin b/tests/isa/generated/rv32ui-p-bltu.bin old mode 100644 new mode 100755 index 822cef3..71df440 Binary files a/tests/isa/generated/rv32ui-p-bltu.bin and b/tests/isa/generated/rv32ui-p-bltu.bin differ diff --git a/tests/isa/generated/rv32ui-p-bltu.dump b/tests/isa/generated/rv32ui-p-bltu.dump index f77ff22..0338d1d 100644 --- a/tests/isa/generated/rv32ui-p-bltu.dump +++ b/tests/isa/generated/rv32ui-p-bltu.dump @@ -238,15 +238,15 @@ Disassembly of section .text.init: 2f8: 00301863 bne zero,gp,308 000002fc : - 2fc: 00100d13 li s10,1 - 300: 00000d93 li s11,0 + 2fc: 00000d93 li s11,0 + 300: 00100d13 li s10,1 00000304 : 304: 0000006f j 304 00000308 : - 308: 00100d13 li s10,1 - 30c: 00100d93 li s11,1 + 308: 00100d93 li s11,1 + 30c: 00100d13 li s10,1 00000310 : 310: 0000006f j 310 @@ -259,3 +259,18 @@ Disassembly of section .tohost: 000003c0 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-bltu.mem b/tests/isa/generated/rv32ui-p-bltu.mem new file mode 100644 index 0000000..66bfcbe --- /dev/null +++ b/tests/isa/generated/rv32ui-p-bltu.mem @@ -0,0 +1,242 @@ +00000d13 +00000d93 +00200193 +00000093 +00100113 +0020e663 +2e301263 +00301663 +fe20eee3 +2c301c63 +00300193 +ffe00093 +fff00113 +0020e663 +2c301263 +00301663 +fe20eee3 +2a301c63 +00400193 +00000093 +fff00113 +0020e663 +2a301263 +00301663 +fe20eee3 +28301c63 +00500193 +00100093 +00000113 +0020e463 +00301463 +28301063 +fe20eee3 +00600193 +fff00093 +ffe00113 +0020e463 +00301463 +26301263 +fe20eee3 +00700193 +fff00093 +00000113 +0020e463 +00301463 +24301463 +fe20eee3 +00800193 +800000b7 +80000137 +fff10113 +0020e463 +00301463 +22301463 +fe20eee3 +00900193 +00000213 +f00000b7 +f0000137 +fff10113 +2020e663 +00120213 +00200293 +fe5214e3 +00a00193 +00000213 +f00000b7 +f0000137 +fff10113 +00000013 +1e20e263 +00120213 +00200293 +fe5212e3 +00b00193 +00000213 +f00000b7 +f0000137 +fff10113 +00000013 +00000013 +1a20ec63 +00120213 +00200293 +fe5210e3 +00c00193 +00000213 +f00000b7 +00000013 +f0000137 +fff10113 +1820e863 +00120213 +00200293 +fe5212e3 +00d00193 +00000213 +f00000b7 +00000013 +f0000137 +fff10113 +00000013 +1620e263 +00120213 +00200293 +fe5210e3 +00e00193 +00000213 +f00000b7 +00000013 +00000013 +f0000137 +fff10113 +1220ec63 +00120213 +00200293 +fe5210e3 +00f00193 +00000213 +f00000b7 +f0000137 +fff10113 +1020ea63 +00120213 +00200293 +fe5214e3 +01000193 +00000213 +f00000b7 +f0000137 +fff10113 +00000013 +0e20e663 +00120213 +00200293 +fe5212e3 +01100193 +00000213 +f00000b7 +f0000137 +fff10113 +00000013 +00000013 +0c20e063 +00120213 +00200293 +fe5210e3 +01200193 +00000213 +f00000b7 +00000013 +f0000137 +fff10113 +0820ec63 +00120213 +00200293 +fe5212e3 +01300193 +00000213 +f00000b7 +00000013 +f0000137 +fff10113 +00000013 +0620e663 +00120213 +00200293 +fe5210e3 +01400193 +00000213 +f00000b7 +00000013 +00000013 +f0000137 +fff10113 +0420e063 +00120213 +00200293 +fe5210e3 +00100093 +00106a63 +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +01500193 +01d09463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-bltu.verilog b/tests/isa/generated/rv32ui-p-bltu.verilog old mode 100644 new mode 100755 index 8bc8aef..50be845 --- a/tests/isa/generated/rv32ui-p-bltu.verilog +++ b/tests/isa/generated/rv32ui-p-bltu.verilog @@ -1,60 +1,60 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 -13 01 10 00 63 E6 20 00 63 12 30 2E 63 16 30 00 -E3 EE 20 FE 63 1C 30 2C 93 01 30 00 93 00 E0 FF -13 01 F0 FF 63 E6 20 00 63 12 30 2C 63 16 30 00 -E3 EE 20 FE 63 1C 30 2A 93 01 40 00 93 00 00 00 -13 01 F0 FF 63 E6 20 00 63 12 30 2A 63 16 30 00 -E3 EE 20 FE 63 1C 30 28 93 01 50 00 93 00 10 00 -13 01 00 00 63 E4 20 00 63 14 30 00 63 10 30 28 -E3 EE 20 FE 93 01 60 00 93 00 F0 FF 13 01 E0 FF -63 E4 20 00 63 14 30 00 63 12 30 26 E3 EE 20 FE -93 01 70 00 93 00 F0 FF 13 01 00 00 63 E4 20 00 -63 14 30 00 63 14 30 24 E3 EE 20 FE 93 01 80 00 -B7 00 00 80 37 01 00 80 13 01 F1 FF 63 E4 20 00 -63 14 30 00 63 14 30 22 E3 EE 20 FE 93 01 90 00 -13 02 00 00 B7 00 00 F0 37 01 00 F0 13 01 F1 FF -63 E6 20 20 13 02 12 00 93 02 20 00 E3 14 52 FE -93 01 A0 00 13 02 00 00 B7 00 00 F0 37 01 00 F0 -13 01 F1 FF 13 00 00 00 63 E2 20 1E 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 B0 00 13 02 00 00 -B7 00 00 F0 37 01 00 F0 13 01 F1 FF 13 00 00 00 -13 00 00 00 63 EC 20 1A 13 02 12 00 93 02 20 00 -E3 10 52 FE 93 01 C0 00 13 02 00 00 B7 00 00 F0 -13 00 00 00 37 01 00 F0 13 01 F1 FF 63 E8 20 18 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 D0 00 -13 02 00 00 B7 00 00 F0 13 00 00 00 37 01 00 F0 -13 01 F1 FF 13 00 00 00 63 E2 20 16 13 02 12 00 -93 02 20 00 E3 10 52 FE 93 01 E0 00 13 02 00 00 -B7 00 00 F0 13 00 00 00 13 00 00 00 37 01 00 F0 -13 01 F1 FF 63 EC 20 12 13 02 12 00 93 02 20 00 -E3 10 52 FE 93 01 F0 00 13 02 00 00 B7 00 00 F0 -37 01 00 F0 13 01 F1 FF 63 EA 20 10 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 01 00 01 13 02 00 00 -B7 00 00 F0 37 01 00 F0 13 01 F1 FF 13 00 00 00 -63 E6 20 0E 13 02 12 00 93 02 20 00 E3 12 52 FE -93 01 10 01 13 02 00 00 B7 00 00 F0 37 01 00 F0 -13 01 F1 FF 13 00 00 00 13 00 00 00 63 E0 20 0C -13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 20 01 -13 02 00 00 B7 00 00 F0 13 00 00 00 37 01 00 F0 -13 01 F1 FF 63 EC 20 08 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 30 01 13 02 00 00 B7 00 00 F0 -13 00 00 00 37 01 00 F0 13 01 F1 FF 13 00 00 00 -63 E6 20 06 13 02 12 00 93 02 20 00 E3 10 52 FE -93 01 40 01 13 02 00 00 B7 00 00 F0 13 00 00 00 -13 00 00 00 37 01 00 F0 13 01 F1 FF 63 E0 20 04 -13 02 12 00 93 02 20 00 E3 10 52 FE 93 00 10 00 -63 6A 10 00 93 80 10 00 93 80 10 00 93 80 10 00 -93 80 10 00 93 80 10 00 93 80 10 00 93 0E 30 00 -93 01 50 01 63 94 D0 01 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000380 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 +13 01 10 00 63 E6 20 00 63 12 30 2E 63 16 30 00 +E3 EE 20 FE 63 1C 30 2C 93 01 30 00 93 00 E0 FF +13 01 F0 FF 63 E6 20 00 63 12 30 2C 63 16 30 00 +E3 EE 20 FE 63 1C 30 2A 93 01 40 00 93 00 00 00 +13 01 F0 FF 63 E6 20 00 63 12 30 2A 63 16 30 00 +E3 EE 20 FE 63 1C 30 28 93 01 50 00 93 00 10 00 +13 01 00 00 63 E4 20 00 63 14 30 00 63 10 30 28 +E3 EE 20 FE 93 01 60 00 93 00 F0 FF 13 01 E0 FF +63 E4 20 00 63 14 30 00 63 12 30 26 E3 EE 20 FE +93 01 70 00 93 00 F0 FF 13 01 00 00 63 E4 20 00 +63 14 30 00 63 14 30 24 E3 EE 20 FE 93 01 80 00 +B7 00 00 80 37 01 00 80 13 01 F1 FF 63 E4 20 00 +63 14 30 00 63 14 30 22 E3 EE 20 FE 93 01 90 00 +13 02 00 00 B7 00 00 F0 37 01 00 F0 13 01 F1 FF +63 E6 20 20 13 02 12 00 93 02 20 00 E3 14 52 FE +93 01 A0 00 13 02 00 00 B7 00 00 F0 37 01 00 F0 +13 01 F1 FF 13 00 00 00 63 E2 20 1E 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 01 B0 00 13 02 00 00 +B7 00 00 F0 37 01 00 F0 13 01 F1 FF 13 00 00 00 +13 00 00 00 63 EC 20 1A 13 02 12 00 93 02 20 00 +E3 10 52 FE 93 01 C0 00 13 02 00 00 B7 00 00 F0 +13 00 00 00 37 01 00 F0 13 01 F1 FF 63 E8 20 18 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 D0 00 +13 02 00 00 B7 00 00 F0 13 00 00 00 37 01 00 F0 +13 01 F1 FF 13 00 00 00 63 E2 20 16 13 02 12 00 +93 02 20 00 E3 10 52 FE 93 01 E0 00 13 02 00 00 +B7 00 00 F0 13 00 00 00 13 00 00 00 37 01 00 F0 +13 01 F1 FF 63 EC 20 12 13 02 12 00 93 02 20 00 +E3 10 52 FE 93 01 F0 00 13 02 00 00 B7 00 00 F0 +37 01 00 F0 13 01 F1 FF 63 EA 20 10 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 01 00 01 13 02 00 00 +B7 00 00 F0 37 01 00 F0 13 01 F1 FF 13 00 00 00 +63 E6 20 0E 13 02 12 00 93 02 20 00 E3 12 52 FE +93 01 10 01 13 02 00 00 B7 00 00 F0 37 01 00 F0 +13 01 F1 FF 13 00 00 00 13 00 00 00 63 E0 20 0C +13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 20 01 +13 02 00 00 B7 00 00 F0 13 00 00 00 37 01 00 F0 +13 01 F1 FF 63 EC 20 08 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 30 01 13 02 00 00 B7 00 00 F0 +13 00 00 00 37 01 00 F0 13 01 F1 FF 13 00 00 00 +63 E6 20 06 13 02 12 00 93 02 20 00 E3 10 52 FE +93 01 40 01 13 02 00 00 B7 00 00 F0 13 00 00 00 +13 00 00 00 37 01 00 F0 13 01 F1 FF 63 E0 20 04 +13 02 12 00 93 02 20 00 E3 10 52 FE 93 00 10 00 +63 6A 10 00 93 80 10 00 93 80 10 00 93 80 10 00 +93 80 10 00 93 80 10 00 93 80 10 00 93 0E 30 00 +93 01 50 01 63 94 D0 01 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000380 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-bne b/tests/isa/generated/rv32ui-p-bne old mode 100644 new mode 100755 index 6778232..01cc3e9 Binary files a/tests/isa/generated/rv32ui-p-bne and b/tests/isa/generated/rv32ui-p-bne differ diff --git a/tests/isa/generated/rv32ui-p-bne.bin b/tests/isa/generated/rv32ui-p-bne.bin old mode 100644 new mode 100755 index 1e78a51..9f4168b Binary files a/tests/isa/generated/rv32ui-p-bne.bin and b/tests/isa/generated/rv32ui-p-bne.bin differ diff --git a/tests/isa/generated/rv32ui-p-bne.dump b/tests/isa/generated/rv32ui-p-bne.dump index 6e97859..fcd0dda 100644 --- a/tests/isa/generated/rv32ui-p-bne.dump +++ b/tests/isa/generated/rv32ui-p-bne.dump @@ -226,15 +226,15 @@ Disassembly of section .text.init: 2c8: 00301863 bne zero,gp,2d8 000002cc : - 2cc: 00100d13 li s10,1 - 2d0: 00000d93 li s11,0 + 2cc: 00000d93 li s11,0 + 2d0: 00100d13 li s10,1 000002d4 : 2d4: 0000006f j 2d4 000002d8 : - 2d8: 00100d13 li s10,1 - 2dc: 00100d93 li s11,1 + 2d8: 00100d93 li s11,1 + 2dc: 00100d13 li s10,1 000002e0 : 2e0: 0000006f j 2e0 @@ -247,3 +247,18 @@ Disassembly of section .tohost: 00000380 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-bne.mem b/tests/isa/generated/rv32ui-p-bne.mem new file mode 100644 index 0000000..96ceac6 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-bne.mem @@ -0,0 +1,226 @@ +00000d13 +00000d93 +00200193 +00000093 +00100113 +00209663 +2a301a63 +00301663 +fe209ee3 +2a301463 +00300193 +00100093 +00000113 +00209663 +28301a63 +00301663 +fe209ee3 +28301463 +00400193 +fff00093 +00100113 +00209663 +26301a63 +00301663 +fe209ee3 +26301463 +00500193 +00100093 +fff00113 +00209663 +24301a63 +00301663 +fe209ee3 +24301463 +00600193 +00000093 +00000113 +00209463 +00301463 +22301863 +fe209ee3 +00700193 +00100093 +00100113 +00209463 +00301463 +20301a63 +fe209ee3 +00800193 +fff00093 +fff00113 +00209463 +00301463 +1e301c63 +fe209ee3 +00900193 +00000213 +00000093 +00000113 +1e209063 +00120213 +00200293 +fe5216e3 +00a00193 +00000213 +00000093 +00000113 +00000013 +1a209e63 +00120213 +00200293 +fe5214e3 +00b00193 +00000213 +00000093 +00000113 +00000013 +00000013 +18209a63 +00120213 +00200293 +fe5212e3 +00c00193 +00000213 +00000093 +00000013 +00000113 +16209863 +00120213 +00200293 +fe5214e3 +00d00193 +00000213 +00000093 +00000013 +00000113 +00000013 +14209463 +00120213 +00200293 +fe5212e3 +00e00193 +00000213 +00000093 +00000013 +00000013 +00000113 +12209063 +00120213 +00200293 +fe5212e3 +00f00193 +00000213 +00000093 +00000113 +10209063 +00120213 +00200293 +fe5216e3 +01000193 +00000213 +00000093 +00000113 +00000013 +0c209e63 +00120213 +00200293 +fe5214e3 +01100193 +00000213 +00000093 +00000113 +00000013 +00000013 +0a209a63 +00120213 +00200293 +fe5212e3 +01200193 +00000213 +00000093 +00000013 +00000113 +08209863 +00120213 +00200293 +fe5214e3 +01300193 +00000213 +00000093 +00000013 +00000113 +00000013 +06209463 +00120213 +00200293 +fe5212e3 +01400193 +00000213 +00000093 +00000013 +00000013 +00000113 +04209063 +00120213 +00200293 +fe5212e3 +00100093 +00009a63 +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +01500193 +01d09463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-bne.verilog b/tests/isa/generated/rv32ui-p-bne.verilog old mode 100644 new mode 100755 index a70d927..c357fe3 --- a/tests/isa/generated/rv32ui-p-bne.verilog +++ b/tests/isa/generated/rv32ui-p-bne.verilog @@ -1,56 +1,56 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 -13 01 10 00 63 96 20 00 63 1A 30 2A 63 16 30 00 -E3 9E 20 FE 63 14 30 2A 93 01 30 00 93 00 10 00 -13 01 00 00 63 96 20 00 63 1A 30 28 63 16 30 00 -E3 9E 20 FE 63 14 30 28 93 01 40 00 93 00 F0 FF -13 01 10 00 63 96 20 00 63 1A 30 26 63 16 30 00 -E3 9E 20 FE 63 14 30 26 93 01 50 00 93 00 10 00 -13 01 F0 FF 63 96 20 00 63 1A 30 24 63 16 30 00 -E3 9E 20 FE 63 14 30 24 93 01 60 00 93 00 00 00 -13 01 00 00 63 94 20 00 63 14 30 00 63 18 30 22 -E3 9E 20 FE 93 01 70 00 93 00 10 00 13 01 10 00 -63 94 20 00 63 14 30 00 63 1A 30 20 E3 9E 20 FE -93 01 80 00 93 00 F0 FF 13 01 F0 FF 63 94 20 00 -63 14 30 00 63 1C 30 1E E3 9E 20 FE 93 01 90 00 -13 02 00 00 93 00 00 00 13 01 00 00 63 90 20 1E -13 02 12 00 93 02 20 00 E3 16 52 FE 93 01 A0 00 -13 02 00 00 93 00 00 00 13 01 00 00 13 00 00 00 -63 9E 20 1A 13 02 12 00 93 02 20 00 E3 14 52 FE -93 01 B0 00 13 02 00 00 93 00 00 00 13 01 00 00 -13 00 00 00 13 00 00 00 63 9A 20 18 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 C0 00 13 02 00 00 -93 00 00 00 13 00 00 00 13 01 00 00 63 98 20 16 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 D0 00 -13 02 00 00 93 00 00 00 13 00 00 00 13 01 00 00 -13 00 00 00 63 94 20 14 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 E0 00 13 02 00 00 93 00 00 00 -13 00 00 00 13 00 00 00 13 01 00 00 63 90 20 12 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 F0 00 -13 02 00 00 93 00 00 00 13 01 00 00 63 90 20 10 -13 02 12 00 93 02 20 00 E3 16 52 FE 93 01 00 01 -13 02 00 00 93 00 00 00 13 01 00 00 13 00 00 00 -63 9E 20 0C 13 02 12 00 93 02 20 00 E3 14 52 FE -93 01 10 01 13 02 00 00 93 00 00 00 13 01 00 00 -13 00 00 00 13 00 00 00 63 9A 20 0A 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 20 01 13 02 00 00 -93 00 00 00 13 00 00 00 13 01 00 00 63 98 20 08 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 30 01 -13 02 00 00 93 00 00 00 13 00 00 00 13 01 00 00 -13 00 00 00 63 94 20 06 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 01 40 01 13 02 00 00 93 00 00 00 -13 00 00 00 13 00 00 00 13 01 00 00 63 90 20 04 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 00 10 00 -63 9A 00 00 93 80 10 00 93 80 10 00 93 80 10 00 -93 80 10 00 93 80 10 00 93 80 10 00 93 0E 30 00 -93 01 50 01 63 94 D0 01 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000340 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 +13 01 10 00 63 96 20 00 63 1A 30 2A 63 16 30 00 +E3 9E 20 FE 63 14 30 2A 93 01 30 00 93 00 10 00 +13 01 00 00 63 96 20 00 63 1A 30 28 63 16 30 00 +E3 9E 20 FE 63 14 30 28 93 01 40 00 93 00 F0 FF +13 01 10 00 63 96 20 00 63 1A 30 26 63 16 30 00 +E3 9E 20 FE 63 14 30 26 93 01 50 00 93 00 10 00 +13 01 F0 FF 63 96 20 00 63 1A 30 24 63 16 30 00 +E3 9E 20 FE 63 14 30 24 93 01 60 00 93 00 00 00 +13 01 00 00 63 94 20 00 63 14 30 00 63 18 30 22 +E3 9E 20 FE 93 01 70 00 93 00 10 00 13 01 10 00 +63 94 20 00 63 14 30 00 63 1A 30 20 E3 9E 20 FE +93 01 80 00 93 00 F0 FF 13 01 F0 FF 63 94 20 00 +63 14 30 00 63 1C 30 1E E3 9E 20 FE 93 01 90 00 +13 02 00 00 93 00 00 00 13 01 00 00 63 90 20 1E +13 02 12 00 93 02 20 00 E3 16 52 FE 93 01 A0 00 +13 02 00 00 93 00 00 00 13 01 00 00 13 00 00 00 +63 9E 20 1A 13 02 12 00 93 02 20 00 E3 14 52 FE +93 01 B0 00 13 02 00 00 93 00 00 00 13 01 00 00 +13 00 00 00 13 00 00 00 63 9A 20 18 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 01 C0 00 13 02 00 00 +93 00 00 00 13 00 00 00 13 01 00 00 63 98 20 16 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 D0 00 +13 02 00 00 93 00 00 00 13 00 00 00 13 01 00 00 +13 00 00 00 63 94 20 14 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 E0 00 13 02 00 00 93 00 00 00 +13 00 00 00 13 00 00 00 13 01 00 00 63 90 20 12 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 01 F0 00 +13 02 00 00 93 00 00 00 13 01 00 00 63 90 20 10 +13 02 12 00 93 02 20 00 E3 16 52 FE 93 01 00 01 +13 02 00 00 93 00 00 00 13 01 00 00 13 00 00 00 +63 9E 20 0C 13 02 12 00 93 02 20 00 E3 14 52 FE +93 01 10 01 13 02 00 00 93 00 00 00 13 01 00 00 +13 00 00 00 13 00 00 00 63 9A 20 0A 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 01 20 01 13 02 00 00 +93 00 00 00 13 00 00 00 13 01 00 00 63 98 20 08 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 01 30 01 +13 02 00 00 93 00 00 00 13 00 00 00 13 01 00 00 +13 00 00 00 63 94 20 06 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 01 40 01 13 02 00 00 93 00 00 00 +13 00 00 00 13 00 00 00 13 01 00 00 63 90 20 04 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 00 10 00 +63 9A 00 00 93 80 10 00 93 80 10 00 93 80 10 00 +93 80 10 00 93 80 10 00 93 80 10 00 93 0E 30 00 +93 01 50 01 63 94 D0 01 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000340 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-fence_i b/tests/isa/generated/rv32ui-p-fence_i old mode 100644 new mode 100755 index 4857f80..8f4fd92 Binary files a/tests/isa/generated/rv32ui-p-fence_i and b/tests/isa/generated/rv32ui-p-fence_i differ diff --git a/tests/isa/generated/rv32ui-p-fence_i.bin b/tests/isa/generated/rv32ui-p-fence_i.bin old mode 100644 new mode 100755 index 12cbbe9..506209e Binary files a/tests/isa/generated/rv32ui-p-fence_i.bin and b/tests/isa/generated/rv32ui-p-fence_i.bin differ diff --git a/tests/isa/generated/rv32ui-p-fence_i.dump b/tests/isa/generated/rv32ui-p-fence_i.dump index 52c6dd7..4195690 100644 --- a/tests/isa/generated/rv32ui-p-fence_i.dump +++ b/tests/isa/generated/rv32ui-p-fence_i.dump @@ -65,15 +65,15 @@ Disassembly of section .text.init: d4: 00301863 bne zero,gp,e4 000000d8 : - d8: 00100d13 li s10,1 - dc: 00000d93 li s11,0 + d8: 00000d93 li s11,0 + dc: 00100d13 li s10,1 000000e0 : e0: 0000006f j e0 000000e4 : - e4: 00100d13 li s10,1 - e8: 00100d93 li s11,1 + e4: 00100d93 li s11,1 + e8: 00100d13 li s10,1 000000ec : ec: 0000006f j ec @@ -92,3 +92,18 @@ Disassembly of section .tohost: 00001080 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 <_start+0x14> + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-fence_i.mem b/tests/isa/generated/rv32ui-p-fence_i.mem new file mode 100644 index 0000000..1ae79af --- /dev/null +++ b/tests/isa/generated/rv32ui-p-fence_i.mem @@ -0,0 +1,1058 @@ +00000d13 +00000d93 +06f00693 +00001517 +ff451503 +00001597 +fee59583 +00000013 +00000013 +00000013 +00000013 +00000013 +00000013 +00000013 +00000013 +00000013 +00000297 +00a29a23 +00000297 +00b29723 +0000100f +0de68693 +00000013 +1bc00e93 +00200193 +07d69a63 +06400713 +fff70713 +fe071ee3 +00000297 +04a29623 +00000297 +04b29323 +0000100f +00000013 +00000013 +00000013 +00000013 +00000013 +00000013 +00000013 +00000013 +00000013 +00000013 +00000013 +00000013 +00000013 +00000013 +22b68693 +00000013 +30900e93 +00300193 +01d69463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +14d68693 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-fence_i.verilog b/tests/isa/generated/rv32ui-p-fence_i.verilog old mode 100644 new mode 100755 index 0f09e58..b97f6c6 --- a/tests/isa/generated/rv32ui-p-fence_i.verilog +++ b/tests/isa/generated/rv32ui-p-fence_i.verilog @@ -1,28 +1,28 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 06 F0 06 17 15 00 00 -03 15 45 FF 97 15 00 00 83 95 E5 FE 13 00 00 00 -13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 -13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 -97 02 00 00 23 9A A2 00 97 02 00 00 23 97 B2 00 -0F 10 00 00 93 86 E6 0D 13 00 00 00 93 0E C0 1B -93 01 20 00 63 9A D6 07 13 07 40 06 13 07 F7 FF -E3 1E 07 FE 97 02 00 00 23 96 A2 04 97 02 00 00 -23 93 B2 04 0F 10 00 00 13 00 00 00 13 00 00 00 -13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 -13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 -13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 -93 86 B6 22 13 00 00 00 93 0E 90 30 93 01 30 00 -63 94 D6 01 63 18 30 00 13 0D 10 00 93 0D 00 00 -6F 00 00 00 13 0D 10 00 93 0D 10 00 6F 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 -@00001000 -93 86 D6 14 00 00 00 00 00 00 00 00 00 00 00 00 -@00001040 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 06 F0 06 17 15 00 00 +03 15 45 FF 97 15 00 00 83 95 E5 FE 13 00 00 00 +13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 +13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 +97 02 00 00 23 9A A2 00 97 02 00 00 23 97 B2 00 +0F 10 00 00 93 86 E6 0D 13 00 00 00 93 0E C0 1B +93 01 20 00 63 9A D6 07 13 07 40 06 13 07 F7 FF +E3 1E 07 FE 97 02 00 00 23 96 A2 04 97 02 00 00 +23 93 B2 04 0F 10 00 00 13 00 00 00 13 00 00 00 +13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 +13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 +13 00 00 00 13 00 00 00 13 00 00 00 13 00 00 00 +93 86 B6 22 13 00 00 00 93 0E 90 30 93 01 30 00 +63 94 D6 01 63 18 30 00 93 0D 00 00 13 0D 10 00 +6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 +@00001000 +93 86 D6 14 00 00 00 00 00 00 00 00 00 00 00 00 +@00001040 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-jal b/tests/isa/generated/rv32ui-p-jal old mode 100644 new mode 100755 index af50f62..908f730 Binary files a/tests/isa/generated/rv32ui-p-jal and b/tests/isa/generated/rv32ui-p-jal differ diff --git a/tests/isa/generated/rv32ui-p-jal.bin b/tests/isa/generated/rv32ui-p-jal.bin old mode 100644 new mode 100755 index c9201af..490fd22 Binary files a/tests/isa/generated/rv32ui-p-jal.bin and b/tests/isa/generated/rv32ui-p-jal.bin differ diff --git a/tests/isa/generated/rv32ui-p-jal.dump b/tests/isa/generated/rv32ui-p-jal.dump index e2be4a2..ee5a750 100644 --- a/tests/isa/generated/rv32ui-p-jal.dump +++ b/tests/isa/generated/rv32ui-p-jal.dump @@ -38,15 +38,15 @@ Disassembly of section .text.init: 58: 00301863 bne zero,gp,68 0000005c : - 5c: 00100d13 li s10,1 - 60: 00000d93 li s11,0 + 5c: 00000d93 li s11,0 + 60: 00100d13 li s10,1 00000064 : 64: 0000006f j 64 00000068 : - 68: 00100d13 li s10,1 - 6c: 00100d93 li s11,1 + 68: 00100d93 li s11,1 + 6c: 00100d13 li s10,1 00000070 : 70: 0000006f j 70 @@ -59,3 +59,18 @@ Disassembly of section .tohost: 00000100 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-jal.mem b/tests/isa/generated/rv32ui-p-jal.mem new file mode 100644 index 0000000..3c49879 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-jal.mem @@ -0,0 +1,66 @@ +00000d13 +00000d93 +00200193 +00000093 +0100026f +00000013 +00000013 +0400006f +00000117 +ff410113 +02411a63 +00100093 +0140006f +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +00300193 +01d09463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-jal.verilog b/tests/isa/generated/rv32ui-p-jal.verilog old mode 100644 new mode 100755 index 729fd9a..98d8cad --- a/tests/isa/generated/rv32ui-p-jal.verilog +++ b/tests/isa/generated/rv32ui-p-jal.verilog @@ -1,16 +1,16 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 -6F 02 00 01 13 00 00 00 13 00 00 00 6F 00 00 04 -17 01 00 00 13 01 41 FF 63 1A 41 02 93 00 10 00 -6F 00 40 01 93 80 10 00 93 80 10 00 93 80 10 00 -93 80 10 00 93 80 10 00 93 80 10 00 93 0E 30 00 -93 01 30 00 63 94 D0 01 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@000000C0 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 01 20 00 93 00 00 00 +6F 02 00 01 13 00 00 00 13 00 00 00 6F 00 00 04 +17 01 00 00 13 01 41 FF 63 1A 41 02 93 00 10 00 +6F 00 40 01 93 80 10 00 93 80 10 00 93 80 10 00 +93 80 10 00 93 80 10 00 93 80 10 00 93 0E 30 00 +93 01 30 00 63 94 D0 01 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@000000C0 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-jalr b/tests/isa/generated/rv32ui-p-jalr old mode 100644 new mode 100755 index cc7f4ac..ca79a81 Binary files a/tests/isa/generated/rv32ui-p-jalr and b/tests/isa/generated/rv32ui-p-jalr differ diff --git a/tests/isa/generated/rv32ui-p-jalr.bin b/tests/isa/generated/rv32ui-p-jalr.bin old mode 100644 new mode 100755 index 9f97c67..d222d5a Binary files a/tests/isa/generated/rv32ui-p-jalr.bin and b/tests/isa/generated/rv32ui-p-jalr.bin differ diff --git a/tests/isa/generated/rv32ui-p-jalr.dump b/tests/isa/generated/rv32ui-p-jalr.dump index 4db7ea0..7834877 100644 --- a/tests/isa/generated/rv32ui-p-jalr.dump +++ b/tests/isa/generated/rv32ui-p-jalr.dump @@ -76,15 +76,15 @@ Disassembly of section .text.init: d8: 00301863 bne zero,gp,e8 000000dc : - dc: 00100d13 li s10,1 - e0: 00000d93 li s11,0 + dc: 00000d93 li s11,0 + e0: 00100d13 li s10,1 000000e4 : e4: 0000006f j e4 000000e8 : - e8: 00100d13 li s10,1 - ec: 00100d93 li s11,1 + e8: 00100d93 li s11,1 + ec: 00100d13 li s10,1 000000f0 : f0: 0000006f j f0 @@ -97,3 +97,18 @@ Disassembly of section .tohost: 00000180 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-jalr.mem b/tests/isa/generated/rv32ui-p-jalr.mem new file mode 100644 index 0000000..4bcbbeb --- /dev/null +++ b/tests/isa/generated/rv32ui-p-jalr.mem @@ -0,0 +1,98 @@ +00000d13 +00000d93 +00200193 +00000293 +00000317 +01030313 +000302e7 +0c00006f +00000317 +ffc30313 +0a629a63 +00400193 +00000213 +00000317 +01030313 +000309e7 +08301e63 +00120213 +00200293 +fe5214e3 +00500193 +00000213 +00000317 +01430313 +00000013 +000309e7 +06301a63 +00120213 +00200293 +fe5212e3 +00600193 +00000213 +00000317 +01830313 +00000013 +00000013 +000309e7 +04301463 +00120213 +00200293 +fe5210e3 +00100293 +00000317 +01c30313 +ffc30067 +00128293 +00128293 +00128293 +00128293 +00128293 +00128293 +00400e93 +00700193 +01d29463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-jalr.verilog b/tests/isa/generated/rv32ui-p-jalr.verilog old mode 100644 new mode 100755 index 8819d6c..69c9617 --- a/tests/isa/generated/rv32ui-p-jalr.verilog +++ b/tests/isa/generated/rv32ui-p-jalr.verilog @@ -1,24 +1,24 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 01 20 00 93 02 00 00 -17 03 00 00 13 03 03 01 E7 02 03 00 6F 00 00 0C -17 03 00 00 13 03 C3 FF 63 9A 62 0A 93 01 40 00 -13 02 00 00 17 03 00 00 13 03 03 01 E7 09 03 00 -63 1E 30 08 13 02 12 00 93 02 20 00 E3 14 52 FE -93 01 50 00 13 02 00 00 17 03 00 00 13 03 43 01 -13 00 00 00 E7 09 03 00 63 1A 30 06 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 60 00 13 02 00 00 -17 03 00 00 13 03 83 01 13 00 00 00 13 00 00 00 -E7 09 03 00 63 14 30 04 13 02 12 00 93 02 20 00 -E3 10 52 FE 93 02 10 00 17 03 00 00 13 03 C3 01 -67 00 C3 FF 93 82 12 00 93 82 12 00 93 82 12 00 -93 82 12 00 93 82 12 00 93 82 12 00 93 0E 40 00 -93 01 70 00 63 94 D2 01 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000140 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 01 20 00 93 02 00 00 +17 03 00 00 13 03 03 01 E7 02 03 00 6F 00 00 0C +17 03 00 00 13 03 C3 FF 63 9A 62 0A 93 01 40 00 +13 02 00 00 17 03 00 00 13 03 03 01 E7 09 03 00 +63 1E 30 08 13 02 12 00 93 02 20 00 E3 14 52 FE +93 01 50 00 13 02 00 00 17 03 00 00 13 03 43 01 +13 00 00 00 E7 09 03 00 63 1A 30 06 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 01 60 00 13 02 00 00 +17 03 00 00 13 03 83 01 13 00 00 00 13 00 00 00 +E7 09 03 00 63 14 30 04 13 02 12 00 93 02 20 00 +E3 10 52 FE 93 02 10 00 17 03 00 00 13 03 C3 01 +67 00 C3 FF 93 82 12 00 93 82 12 00 93 82 12 00 +93 82 12 00 93 82 12 00 93 82 12 00 93 0E 40 00 +93 01 70 00 63 94 D2 01 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000140 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-lb b/tests/isa/generated/rv32ui-p-lb old mode 100644 new mode 100755 index 0c309ec..7d740e2 Binary files a/tests/isa/generated/rv32ui-p-lb and b/tests/isa/generated/rv32ui-p-lb differ diff --git a/tests/isa/generated/rv32ui-p-lb.bin b/tests/isa/generated/rv32ui-p-lb.bin old mode 100644 new mode 100755 index cb19021..05f497e Binary files a/tests/isa/generated/rv32ui-p-lb.bin and b/tests/isa/generated/rv32ui-p-lb.bin differ diff --git a/tests/isa/generated/rv32ui-p-lb.dump b/tests/isa/generated/rv32ui-p-lb.dump index 32bcefe..eceb8fe 100644 --- a/tests/isa/generated/rv32ui-p-lb.dump +++ b/tests/isa/generated/rv32ui-p-lb.dump @@ -192,15 +192,15 @@ Disassembly of section .text.init: 250: 00301863 bne zero,gp,260 00000254 : - 254: 00100d13 li s10,1 - 258: 00000d93 li s11,0 + 254: 00000d93 li s11,0 + 258: 00100d13 li s10,1 0000025c : 25c: 0000006f j 25c 00000260 : - 260: 00100d13 li s10,1 - 264: 00100d93 li s11,1 + 260: 00100d93 li s11,1 + 264: 00100d13 li s10,1 00000268 : 268: 0000006f j 268 @@ -228,3 +228,18 @@ Disassembly of section .tohost: 00001080 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-lb.mem b/tests/isa/generated/rv32ui-p-lb.mem new file mode 100644 index 0000000..0647a7e --- /dev/null +++ b/tests/isa/generated/rv32ui-p-lb.mem @@ -0,0 +1,1058 @@ +00000d13 +00000d93 +00001097 +ff808093 +00008f03 +fff00e93 +00200193 +23df1c63 +00001097 +fe008093 +00108f03 +00000e93 +00300193 +23df1063 +00001097 +fc808093 +00208f03 +ff000e93 +00400193 +21df1463 +00001097 +fb008093 +00308f03 +00f00e93 +00500193 +1fdf1863 +00001097 +f9b08093 +ffd08f03 +fff00e93 +00600193 +1ddf1c63 +00001097 +f8308093 +ffe08f03 +00000e93 +00700193 +1ddf1063 +00001097 +f6b08093 +fff08f03 +ff000e93 +00800193 +1bdf1463 +00001097 +f5308093 +00008f03 +00f00e93 +00900193 +19df1863 +00001097 +f3808093 +fe008093 +02008283 +fff00e93 +00a00193 +17d29a63 +00001097 +f1c08093 +ffa08093 +00708283 +00000e93 +00b00193 +15d29c63 +00c00193 +00000213 +00001097 +ef908093 +00108f03 +000f0313 +ff000e93 +13d31c63 +00120213 +00200293 +fe5210e3 +00d00193 +00000213 +00001097 +ece08093 +00108f03 +00000013 +000f0313 +00f00e93 +11d31463 +00120213 +00200293 +fc521ee3 +00e00193 +00000213 +00001097 +e9c08093 +00108f03 +00000013 +00000013 +000f0313 +00000e93 +0dd31a63 +00120213 +00200293 +fc521ce3 +00f00193 +00000213 +00001097 +e6908093 +00108f03 +ff000e93 +0bdf1663 +00120213 +00200293 +fe5212e3 +01000193 +00000213 +00001097 +e4208093 +00000013 +00108f03 +00f00e93 +09df1063 +00120213 +00200293 +fe5210e3 +01100193 +00000213 +00001097 +e1408093 +00000013 +00000013 +00108f03 +00000e93 +05df1863 +00120213 +00200293 +fc521ee3 +00001297 +dec28293 +00028103 +00200113 +00200e93 +01200193 +03d11463 +00001297 +dd028293 +00028103 +00000013 +00200113 +00200e93 +01300193 +01d11463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +0ff000ff +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-lb.verilog b/tests/isa/generated/rv32ui-p-lb.verilog old mode 100644 new mode 100755 index b899467..2c0ca55 --- a/tests/isa/generated/rv32ui-p-lb.verilog +++ b/tests/isa/generated/rv32ui-p-lb.verilog @@ -1,50 +1,50 @@ -@00000000 -13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF -03 8F 00 00 93 0E F0 FF 93 01 20 00 63 1C DF 23 -97 10 00 00 93 80 00 FE 03 8F 10 00 93 0E 00 00 -93 01 30 00 63 10 DF 23 97 10 00 00 93 80 80 FC -03 8F 20 00 93 0E 00 FF 93 01 40 00 63 14 DF 21 -97 10 00 00 93 80 00 FB 03 8F 30 00 93 0E F0 00 -93 01 50 00 63 18 DF 1F 97 10 00 00 93 80 B0 F9 -03 8F D0 FF 93 0E F0 FF 93 01 60 00 63 1C DF 1D -97 10 00 00 93 80 30 F8 03 8F E0 FF 93 0E 00 00 -93 01 70 00 63 10 DF 1D 97 10 00 00 93 80 B0 F6 -03 8F F0 FF 93 0E 00 FF 93 01 80 00 63 14 DF 1B -97 10 00 00 93 80 30 F5 03 8F 00 00 93 0E F0 00 -93 01 90 00 63 18 DF 19 97 10 00 00 93 80 80 F3 -93 80 00 FE 83 82 00 02 93 0E F0 FF 93 01 A0 00 -63 9A D2 17 97 10 00 00 93 80 C0 F1 93 80 A0 FF -83 82 70 00 93 0E 00 00 93 01 B0 00 63 9C D2 15 -93 01 C0 00 13 02 00 00 97 10 00 00 93 80 90 EF -03 8F 10 00 13 03 0F 00 93 0E 00 FF 63 1C D3 13 -13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 D0 00 -13 02 00 00 97 10 00 00 93 80 E0 EC 03 8F 10 00 -13 00 00 00 13 03 0F 00 93 0E F0 00 63 14 D3 11 -13 02 12 00 93 02 20 00 E3 1E 52 FC 93 01 E0 00 -13 02 00 00 97 10 00 00 93 80 C0 E9 03 8F 10 00 -13 00 00 00 13 00 00 00 13 03 0F 00 93 0E 00 00 -63 1A D3 0D 13 02 12 00 93 02 20 00 E3 1C 52 FC -93 01 F0 00 13 02 00 00 97 10 00 00 93 80 90 E6 -03 8F 10 00 93 0E 00 FF 63 16 DF 0B 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 00 01 13 02 00 00 -97 10 00 00 93 80 20 E4 13 00 00 00 03 8F 10 00 -93 0E F0 00 63 10 DF 09 13 02 12 00 93 02 20 00 -E3 10 52 FE 93 01 10 01 13 02 00 00 97 10 00 00 -93 80 40 E1 13 00 00 00 13 00 00 00 03 8F 10 00 -93 0E 00 00 63 18 DF 05 13 02 12 00 93 02 20 00 -E3 1E 52 FC 97 12 00 00 93 82 C2 DE 03 81 02 00 -13 01 20 00 93 0E 20 00 93 01 20 01 63 14 D1 03 -97 12 00 00 93 82 02 DD 03 81 02 00 13 00 00 00 -13 01 20 00 93 0E 20 00 93 01 30 01 63 14 D1 01 -63 18 30 00 13 0D 10 00 93 0D 00 00 6F 00 00 00 -13 0D 10 00 93 0D 10 00 6F 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00001000 -FF 00 F0 0F 00 00 00 00 00 00 00 00 00 00 00 00 -@00001040 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF +03 8F 00 00 93 0E F0 FF 93 01 20 00 63 1C DF 23 +97 10 00 00 93 80 00 FE 03 8F 10 00 93 0E 00 00 +93 01 30 00 63 10 DF 23 97 10 00 00 93 80 80 FC +03 8F 20 00 93 0E 00 FF 93 01 40 00 63 14 DF 21 +97 10 00 00 93 80 00 FB 03 8F 30 00 93 0E F0 00 +93 01 50 00 63 18 DF 1F 97 10 00 00 93 80 B0 F9 +03 8F D0 FF 93 0E F0 FF 93 01 60 00 63 1C DF 1D +97 10 00 00 93 80 30 F8 03 8F E0 FF 93 0E 00 00 +93 01 70 00 63 10 DF 1D 97 10 00 00 93 80 B0 F6 +03 8F F0 FF 93 0E 00 FF 93 01 80 00 63 14 DF 1B +97 10 00 00 93 80 30 F5 03 8F 00 00 93 0E F0 00 +93 01 90 00 63 18 DF 19 97 10 00 00 93 80 80 F3 +93 80 00 FE 83 82 00 02 93 0E F0 FF 93 01 A0 00 +63 9A D2 17 97 10 00 00 93 80 C0 F1 93 80 A0 FF +83 82 70 00 93 0E 00 00 93 01 B0 00 63 9C D2 15 +93 01 C0 00 13 02 00 00 97 10 00 00 93 80 90 EF +03 8F 10 00 13 03 0F 00 93 0E 00 FF 63 1C D3 13 +13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 D0 00 +13 02 00 00 97 10 00 00 93 80 E0 EC 03 8F 10 00 +13 00 00 00 13 03 0F 00 93 0E F0 00 63 14 D3 11 +13 02 12 00 93 02 20 00 E3 1E 52 FC 93 01 E0 00 +13 02 00 00 97 10 00 00 93 80 C0 E9 03 8F 10 00 +13 00 00 00 13 00 00 00 13 03 0F 00 93 0E 00 00 +63 1A D3 0D 13 02 12 00 93 02 20 00 E3 1C 52 FC +93 01 F0 00 13 02 00 00 97 10 00 00 93 80 90 E6 +03 8F 10 00 93 0E 00 FF 63 16 DF 0B 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 01 00 01 13 02 00 00 +97 10 00 00 93 80 20 E4 13 00 00 00 03 8F 10 00 +93 0E F0 00 63 10 DF 09 13 02 12 00 93 02 20 00 +E3 10 52 FE 93 01 10 01 13 02 00 00 97 10 00 00 +93 80 40 E1 13 00 00 00 13 00 00 00 03 8F 10 00 +93 0E 00 00 63 18 DF 05 13 02 12 00 93 02 20 00 +E3 1E 52 FC 97 12 00 00 93 82 C2 DE 03 81 02 00 +13 01 20 00 93 0E 20 00 93 01 20 01 63 14 D1 03 +97 12 00 00 93 82 02 DD 03 81 02 00 13 00 00 00 +13 01 20 00 93 0E 20 00 93 01 30 01 63 14 D1 01 +63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00 +93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00001000 +FF 00 F0 0F 00 00 00 00 00 00 00 00 00 00 00 00 +@00001040 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-lbu b/tests/isa/generated/rv32ui-p-lbu old mode 100644 new mode 100755 index a0e07c8..1248244 Binary files a/tests/isa/generated/rv32ui-p-lbu and b/tests/isa/generated/rv32ui-p-lbu differ diff --git a/tests/isa/generated/rv32ui-p-lbu.bin b/tests/isa/generated/rv32ui-p-lbu.bin old mode 100644 new mode 100755 index 0b9410a..e3332d8 Binary files a/tests/isa/generated/rv32ui-p-lbu.bin and b/tests/isa/generated/rv32ui-p-lbu.bin differ diff --git a/tests/isa/generated/rv32ui-p-lbu.dump b/tests/isa/generated/rv32ui-p-lbu.dump index 20d607b..bf9598e 100644 --- a/tests/isa/generated/rv32ui-p-lbu.dump +++ b/tests/isa/generated/rv32ui-p-lbu.dump @@ -192,15 +192,15 @@ Disassembly of section .text.init: 250: 00301863 bne zero,gp,260 00000254 : - 254: 00100d13 li s10,1 - 258: 00000d93 li s11,0 + 254: 00000d93 li s11,0 + 258: 00100d13 li s10,1 0000025c : 25c: 0000006f j 25c 00000260 : - 260: 00100d13 li s10,1 - 264: 00100d93 li s11,1 + 260: 00100d93 li s11,1 + 264: 00100d13 li s10,1 00000268 : 268: 0000006f j 268 @@ -228,3 +228,18 @@ Disassembly of section .tohost: 00001080 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-lbu.mem b/tests/isa/generated/rv32ui-p-lbu.mem new file mode 100644 index 0000000..1578167 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-lbu.mem @@ -0,0 +1,1058 @@ +00000d13 +00000d93 +00001097 +ff808093 +0000cf03 +0ff00e93 +00200193 +23df1c63 +00001097 +fe008093 +0010cf03 +00000e93 +00300193 +23df1063 +00001097 +fc808093 +0020cf03 +0f000e93 +00400193 +21df1463 +00001097 +fb008093 +0030cf03 +00f00e93 +00500193 +1fdf1863 +00001097 +f9b08093 +ffd0cf03 +0ff00e93 +00600193 +1ddf1c63 +00001097 +f8308093 +ffe0cf03 +00000e93 +00700193 +1ddf1063 +00001097 +f6b08093 +fff0cf03 +0f000e93 +00800193 +1bdf1463 +00001097 +f5308093 +0000cf03 +00f00e93 +00900193 +19df1863 +00001097 +f3808093 +fe008093 +0200c283 +0ff00e93 +00a00193 +17d29a63 +00001097 +f1c08093 +ffa08093 +0070c283 +00000e93 +00b00193 +15d29c63 +00c00193 +00000213 +00001097 +ef908093 +0010cf03 +000f0313 +0f000e93 +13d31c63 +00120213 +00200293 +fe5210e3 +00d00193 +00000213 +00001097 +ece08093 +0010cf03 +00000013 +000f0313 +00f00e93 +11d31463 +00120213 +00200293 +fc521ee3 +00e00193 +00000213 +00001097 +e9c08093 +0010cf03 +00000013 +00000013 +000f0313 +00000e93 +0dd31a63 +00120213 +00200293 +fc521ce3 +00f00193 +00000213 +00001097 +e6908093 +0010cf03 +0f000e93 +0bdf1663 +00120213 +00200293 +fe5212e3 +01000193 +00000213 +00001097 +e4208093 +00000013 +0010cf03 +00f00e93 +09df1063 +00120213 +00200293 +fe5210e3 +01100193 +00000213 +00001097 +e1408093 +00000013 +00000013 +0010cf03 +00000e93 +05df1863 +00120213 +00200293 +fc521ee3 +00001297 +dec28293 +0002c103 +00200113 +00200e93 +01200193 +03d11463 +00001297 +dd028293 +0002c103 +00000013 +00200113 +00200e93 +01300193 +01d11463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +0ff000ff +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-lbu.verilog b/tests/isa/generated/rv32ui-p-lbu.verilog old mode 100644 new mode 100755 index 23d1169..4697c5b --- a/tests/isa/generated/rv32ui-p-lbu.verilog +++ b/tests/isa/generated/rv32ui-p-lbu.verilog @@ -1,50 +1,50 @@ -@00000000 -13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF -03 CF 00 00 93 0E F0 0F 93 01 20 00 63 1C DF 23 -97 10 00 00 93 80 00 FE 03 CF 10 00 93 0E 00 00 -93 01 30 00 63 10 DF 23 97 10 00 00 93 80 80 FC -03 CF 20 00 93 0E 00 0F 93 01 40 00 63 14 DF 21 -97 10 00 00 93 80 00 FB 03 CF 30 00 93 0E F0 00 -93 01 50 00 63 18 DF 1F 97 10 00 00 93 80 B0 F9 -03 CF D0 FF 93 0E F0 0F 93 01 60 00 63 1C DF 1D -97 10 00 00 93 80 30 F8 03 CF E0 FF 93 0E 00 00 -93 01 70 00 63 10 DF 1D 97 10 00 00 93 80 B0 F6 -03 CF F0 FF 93 0E 00 0F 93 01 80 00 63 14 DF 1B -97 10 00 00 93 80 30 F5 03 CF 00 00 93 0E F0 00 -93 01 90 00 63 18 DF 19 97 10 00 00 93 80 80 F3 -93 80 00 FE 83 C2 00 02 93 0E F0 0F 93 01 A0 00 -63 9A D2 17 97 10 00 00 93 80 C0 F1 93 80 A0 FF -83 C2 70 00 93 0E 00 00 93 01 B0 00 63 9C D2 15 -93 01 C0 00 13 02 00 00 97 10 00 00 93 80 90 EF -03 CF 10 00 13 03 0F 00 93 0E 00 0F 63 1C D3 13 -13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 D0 00 -13 02 00 00 97 10 00 00 93 80 E0 EC 03 CF 10 00 -13 00 00 00 13 03 0F 00 93 0E F0 00 63 14 D3 11 -13 02 12 00 93 02 20 00 E3 1E 52 FC 93 01 E0 00 -13 02 00 00 97 10 00 00 93 80 C0 E9 03 CF 10 00 -13 00 00 00 13 00 00 00 13 03 0F 00 93 0E 00 00 -63 1A D3 0D 13 02 12 00 93 02 20 00 E3 1C 52 FC -93 01 F0 00 13 02 00 00 97 10 00 00 93 80 90 E6 -03 CF 10 00 93 0E 00 0F 63 16 DF 0B 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 01 00 01 13 02 00 00 -97 10 00 00 93 80 20 E4 13 00 00 00 03 CF 10 00 -93 0E F0 00 63 10 DF 09 13 02 12 00 93 02 20 00 -E3 10 52 FE 93 01 10 01 13 02 00 00 97 10 00 00 -93 80 40 E1 13 00 00 00 13 00 00 00 03 CF 10 00 -93 0E 00 00 63 18 DF 05 13 02 12 00 93 02 20 00 -E3 1E 52 FC 97 12 00 00 93 82 C2 DE 03 C1 02 00 -13 01 20 00 93 0E 20 00 93 01 20 01 63 14 D1 03 -97 12 00 00 93 82 02 DD 03 C1 02 00 13 00 00 00 -13 01 20 00 93 0E 20 00 93 01 30 01 63 14 D1 01 -63 18 30 00 13 0D 10 00 93 0D 00 00 6F 00 00 00 -13 0D 10 00 93 0D 10 00 6F 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00001000 -FF 00 F0 0F 00 00 00 00 00 00 00 00 00 00 00 00 -@00001040 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF +03 CF 00 00 93 0E F0 0F 93 01 20 00 63 1C DF 23 +97 10 00 00 93 80 00 FE 03 CF 10 00 93 0E 00 00 +93 01 30 00 63 10 DF 23 97 10 00 00 93 80 80 FC +03 CF 20 00 93 0E 00 0F 93 01 40 00 63 14 DF 21 +97 10 00 00 93 80 00 FB 03 CF 30 00 93 0E F0 00 +93 01 50 00 63 18 DF 1F 97 10 00 00 93 80 B0 F9 +03 CF D0 FF 93 0E F0 0F 93 01 60 00 63 1C DF 1D +97 10 00 00 93 80 30 F8 03 CF E0 FF 93 0E 00 00 +93 01 70 00 63 10 DF 1D 97 10 00 00 93 80 B0 F6 +03 CF F0 FF 93 0E 00 0F 93 01 80 00 63 14 DF 1B +97 10 00 00 93 80 30 F5 03 CF 00 00 93 0E F0 00 +93 01 90 00 63 18 DF 19 97 10 00 00 93 80 80 F3 +93 80 00 FE 83 C2 00 02 93 0E F0 0F 93 01 A0 00 +63 9A D2 17 97 10 00 00 93 80 C0 F1 93 80 A0 FF +83 C2 70 00 93 0E 00 00 93 01 B0 00 63 9C D2 15 +93 01 C0 00 13 02 00 00 97 10 00 00 93 80 90 EF +03 CF 10 00 13 03 0F 00 93 0E 00 0F 63 1C D3 13 +13 02 12 00 93 02 20 00 E3 10 52 FE 93 01 D0 00 +13 02 00 00 97 10 00 00 93 80 E0 EC 03 CF 10 00 +13 00 00 00 13 03 0F 00 93 0E F0 00 63 14 D3 11 +13 02 12 00 93 02 20 00 E3 1E 52 FC 93 01 E0 00 +13 02 00 00 97 10 00 00 93 80 C0 E9 03 CF 10 00 +13 00 00 00 13 00 00 00 13 03 0F 00 93 0E 00 00 +63 1A D3 0D 13 02 12 00 93 02 20 00 E3 1C 52 FC +93 01 F0 00 13 02 00 00 97 10 00 00 93 80 90 E6 +03 CF 10 00 93 0E 00 0F 63 16 DF 0B 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 01 00 01 13 02 00 00 +97 10 00 00 93 80 20 E4 13 00 00 00 03 CF 10 00 +93 0E F0 00 63 10 DF 09 13 02 12 00 93 02 20 00 +E3 10 52 FE 93 01 10 01 13 02 00 00 97 10 00 00 +93 80 40 E1 13 00 00 00 13 00 00 00 03 CF 10 00 +93 0E 00 00 63 18 DF 05 13 02 12 00 93 02 20 00 +E3 1E 52 FC 97 12 00 00 93 82 C2 DE 03 C1 02 00 +13 01 20 00 93 0E 20 00 93 01 20 01 63 14 D1 03 +97 12 00 00 93 82 02 DD 03 C1 02 00 13 00 00 00 +13 01 20 00 93 0E 20 00 93 01 30 01 63 14 D1 01 +63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00 +93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00001000 +FF 00 F0 0F 00 00 00 00 00 00 00 00 00 00 00 00 +@00001040 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-lh b/tests/isa/generated/rv32ui-p-lh old mode 100644 new mode 100755 index 674ea1b..735c592 Binary files a/tests/isa/generated/rv32ui-p-lh and b/tests/isa/generated/rv32ui-p-lh differ diff --git a/tests/isa/generated/rv32ui-p-lh.bin b/tests/isa/generated/rv32ui-p-lh.bin old mode 100644 new mode 100755 index 9d856be..b71a882 Binary files a/tests/isa/generated/rv32ui-p-lh.bin and b/tests/isa/generated/rv32ui-p-lh.bin differ diff --git a/tests/isa/generated/rv32ui-p-lh.dump b/tests/isa/generated/rv32ui-p-lh.dump index c72f573..780fbf9 100644 --- a/tests/isa/generated/rv32ui-p-lh.dump +++ b/tests/isa/generated/rv32ui-p-lh.dump @@ -200,15 +200,15 @@ Disassembly of section .text.init: 270: 00301863 bne zero,gp,280 00000274 : - 274: 00100d13 li s10,1 - 278: 00000d93 li s11,0 + 274: 00000d93 li s11,0 + 278: 00100d13 li s10,1 0000027c : 27c: 0000006f j 27c 00000280 : - 280: 00100d13 li s10,1 - 284: 00100d93 li s11,1 + 280: 00100d93 li s11,1 + 284: 00100d13 li s10,1 00000288 : 288: 0000006f j 288 @@ -238,3 +238,18 @@ Disassembly of section .tohost: 00001080 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-lh.mem b/tests/isa/generated/rv32ui-p-lh.mem new file mode 100644 index 0000000..b086ee9 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-lh.mem @@ -0,0 +1,1058 @@ +00000d13 +00000d93 +00001097 +ff808093 +00009f03 +0ff00e93 +00200193 +25df1c63 +00001097 +fe008093 +00209f03 +f0000e93 +00300193 +25df1063 +00001097 +fc808093 +00409f03 +00001eb7 +ff0e8e93 +00400193 +23df1263 +00001097 +fac08093 +00609f03 +fffffeb7 +00fe8e93 +00500193 +21df1463 +00001097 +f9608093 +ffa09f03 +0ff00e93 +00600193 +1fdf1863 +00001097 +f7e08093 +ffc09f03 +f0000e93 +00700193 +1ddf1c63 +00001097 +f6608093 +ffe09f03 +00001eb7 +ff0e8e93 +00800193 +1bdf1e63 +00001097 +f4a08093 +00009f03 +fffffeb7 +00fe8e93 +00900193 +1bdf1063 +00001097 +f2808093 +fe008093 +02009283 +0ff00e93 +00a00193 +19d29263 +00001097 +f0c08093 +ffb08093 +00709283 +f0000e93 +00b00193 +17d29463 +00c00193 +00000213 +00001097 +eea08093 +00209f03 +000f0313 +00001eb7 +ff0e8e93 +15d31263 +00120213 +00200293 +fc521ee3 +00d00193 +00000213 +00001097 +ebc08093 +00209f03 +00000013 +000f0313 +fffffeb7 +00fe8e93 +11d31863 +00120213 +00200293 +fc521ce3 +00e00193 +00000213 +00001097 +e8408093 +00209f03 +00000013 +00000013 +000f0313 +f0000e93 +0dd31e63 +00120213 +00200293 +fc521ce3 +00f00193 +00000213 +00001097 +e5208093 +00209f03 +00001eb7 +ff0e8e93 +0bdf1863 +00120213 +00200293 +fe5210e3 +01000193 +00000213 +00001097 +e2808093 +00000013 +00209f03 +fffffeb7 +00fe8e93 +09df1063 +00120213 +00200293 +fc521ee3 +01100193 +00000213 +00001097 +df408093 +00000013 +00000013 +00209f03 +f0000e93 +05df1863 +00120213 +00200293 +fc521ee3 +00001297 +dcc28293 +00029103 +00200113 +00200e93 +01200193 +03d11463 +00001297 +db028293 +00029103 +00000013 +00200113 +00200e93 +01300193 +01d11463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +ff0000ff +f00f0ff0 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-lh.verilog b/tests/isa/generated/rv32ui-p-lh.verilog old mode 100644 new mode 100755 index eeadcd4..5e46ef2 --- a/tests/isa/generated/rv32ui-p-lh.verilog +++ b/tests/isa/generated/rv32ui-p-lh.verilog @@ -1,54 +1,54 @@ -@00000000 -13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF -03 9F 00 00 93 0E F0 0F 93 01 20 00 63 1C DF 25 -97 10 00 00 93 80 00 FE 03 9F 20 00 93 0E 00 F0 -93 01 30 00 63 10 DF 25 97 10 00 00 93 80 80 FC -03 9F 40 00 B7 1E 00 00 93 8E 0E FF 93 01 40 00 -63 12 DF 23 97 10 00 00 93 80 C0 FA 03 9F 60 00 -B7 FE FF FF 93 8E FE 00 93 01 50 00 63 14 DF 21 -97 10 00 00 93 80 60 F9 03 9F A0 FF 93 0E F0 0F -93 01 60 00 63 18 DF 1F 97 10 00 00 93 80 E0 F7 -03 9F C0 FF 93 0E 00 F0 93 01 70 00 63 1C DF 1D -97 10 00 00 93 80 60 F6 03 9F E0 FF B7 1E 00 00 -93 8E 0E FF 93 01 80 00 63 1E DF 1B 97 10 00 00 -93 80 A0 F4 03 9F 00 00 B7 FE FF FF 93 8E FE 00 -93 01 90 00 63 10 DF 1B 97 10 00 00 93 80 80 F2 -93 80 00 FE 83 92 00 02 93 0E F0 0F 93 01 A0 00 -63 92 D2 19 97 10 00 00 93 80 C0 F0 93 80 B0 FF -83 92 70 00 93 0E 00 F0 93 01 B0 00 63 94 D2 17 -93 01 C0 00 13 02 00 00 97 10 00 00 93 80 A0 EE -03 9F 20 00 13 03 0F 00 B7 1E 00 00 93 8E 0E FF -63 12 D3 15 13 02 12 00 93 02 20 00 E3 1E 52 FC -93 01 D0 00 13 02 00 00 97 10 00 00 93 80 C0 EB -03 9F 20 00 13 00 00 00 13 03 0F 00 B7 FE FF FF -93 8E FE 00 63 18 D3 11 13 02 12 00 93 02 20 00 -E3 1C 52 FC 93 01 E0 00 13 02 00 00 97 10 00 00 -93 80 40 E8 03 9F 20 00 13 00 00 00 13 00 00 00 -13 03 0F 00 93 0E 00 F0 63 1E D3 0D 13 02 12 00 -93 02 20 00 E3 1C 52 FC 93 01 F0 00 13 02 00 00 -97 10 00 00 93 80 20 E5 03 9F 20 00 B7 1E 00 00 -93 8E 0E FF 63 18 DF 0B 13 02 12 00 93 02 20 00 -E3 10 52 FE 93 01 00 01 13 02 00 00 97 10 00 00 -93 80 80 E2 13 00 00 00 03 9F 20 00 B7 FE FF FF -93 8E FE 00 63 10 DF 09 13 02 12 00 93 02 20 00 -E3 1E 52 FC 93 01 10 01 13 02 00 00 97 10 00 00 -93 80 40 DF 13 00 00 00 13 00 00 00 03 9F 20 00 -93 0E 00 F0 63 18 DF 05 13 02 12 00 93 02 20 00 -E3 1E 52 FC 97 12 00 00 93 82 C2 DC 03 91 02 00 -13 01 20 00 93 0E 20 00 93 01 20 01 63 14 D1 03 -97 12 00 00 93 82 02 DB 03 91 02 00 13 00 00 00 -13 01 20 00 93 0E 20 00 93 01 30 01 63 14 D1 01 -63 18 30 00 13 0D 10 00 93 0D 00 00 6F 00 00 00 -13 0D 10 00 93 0D 10 00 6F 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00001000 -FF 00 00 FF F0 0F 0F F0 00 00 00 00 00 00 00 00 -@00001040 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF +03 9F 00 00 93 0E F0 0F 93 01 20 00 63 1C DF 25 +97 10 00 00 93 80 00 FE 03 9F 20 00 93 0E 00 F0 +93 01 30 00 63 10 DF 25 97 10 00 00 93 80 80 FC +03 9F 40 00 B7 1E 00 00 93 8E 0E FF 93 01 40 00 +63 12 DF 23 97 10 00 00 93 80 C0 FA 03 9F 60 00 +B7 FE FF FF 93 8E FE 00 93 01 50 00 63 14 DF 21 +97 10 00 00 93 80 60 F9 03 9F A0 FF 93 0E F0 0F +93 01 60 00 63 18 DF 1F 97 10 00 00 93 80 E0 F7 +03 9F C0 FF 93 0E 00 F0 93 01 70 00 63 1C DF 1D +97 10 00 00 93 80 60 F6 03 9F E0 FF B7 1E 00 00 +93 8E 0E FF 93 01 80 00 63 1E DF 1B 97 10 00 00 +93 80 A0 F4 03 9F 00 00 B7 FE FF FF 93 8E FE 00 +93 01 90 00 63 10 DF 1B 97 10 00 00 93 80 80 F2 +93 80 00 FE 83 92 00 02 93 0E F0 0F 93 01 A0 00 +63 92 D2 19 97 10 00 00 93 80 C0 F0 93 80 B0 FF +83 92 70 00 93 0E 00 F0 93 01 B0 00 63 94 D2 17 +93 01 C0 00 13 02 00 00 97 10 00 00 93 80 A0 EE +03 9F 20 00 13 03 0F 00 B7 1E 00 00 93 8E 0E FF +63 12 D3 15 13 02 12 00 93 02 20 00 E3 1E 52 FC +93 01 D0 00 13 02 00 00 97 10 00 00 93 80 C0 EB +03 9F 20 00 13 00 00 00 13 03 0F 00 B7 FE FF FF +93 8E FE 00 63 18 D3 11 13 02 12 00 93 02 20 00 +E3 1C 52 FC 93 01 E0 00 13 02 00 00 97 10 00 00 +93 80 40 E8 03 9F 20 00 13 00 00 00 13 00 00 00 +13 03 0F 00 93 0E 00 F0 63 1E D3 0D 13 02 12 00 +93 02 20 00 E3 1C 52 FC 93 01 F0 00 13 02 00 00 +97 10 00 00 93 80 20 E5 03 9F 20 00 B7 1E 00 00 +93 8E 0E FF 63 18 DF 0B 13 02 12 00 93 02 20 00 +E3 10 52 FE 93 01 00 01 13 02 00 00 97 10 00 00 +93 80 80 E2 13 00 00 00 03 9F 20 00 B7 FE FF FF +93 8E FE 00 63 10 DF 09 13 02 12 00 93 02 20 00 +E3 1E 52 FC 93 01 10 01 13 02 00 00 97 10 00 00 +93 80 40 DF 13 00 00 00 13 00 00 00 03 9F 20 00 +93 0E 00 F0 63 18 DF 05 13 02 12 00 93 02 20 00 +E3 1E 52 FC 97 12 00 00 93 82 C2 DC 03 91 02 00 +13 01 20 00 93 0E 20 00 93 01 20 01 63 14 D1 03 +97 12 00 00 93 82 02 DB 03 91 02 00 13 00 00 00 +13 01 20 00 93 0E 20 00 93 01 30 01 63 14 D1 01 +63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00 +93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00001000 +FF 00 00 FF F0 0F 0F F0 00 00 00 00 00 00 00 00 +@00001040 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-lhu b/tests/isa/generated/rv32ui-p-lhu old mode 100644 new mode 100755 index 96487ff..9250ff8 Binary files a/tests/isa/generated/rv32ui-p-lhu and b/tests/isa/generated/rv32ui-p-lhu differ diff --git a/tests/isa/generated/rv32ui-p-lhu.bin b/tests/isa/generated/rv32ui-p-lhu.bin old mode 100644 new mode 100755 index 653b25b..d1c3099 Binary files a/tests/isa/generated/rv32ui-p-lhu.bin and b/tests/isa/generated/rv32ui-p-lhu.bin differ diff --git a/tests/isa/generated/rv32ui-p-lhu.dump b/tests/isa/generated/rv32ui-p-lhu.dump index 0bfa1f3..92ea37f 100644 --- a/tests/isa/generated/rv32ui-p-lhu.dump +++ b/tests/isa/generated/rv32ui-p-lhu.dump @@ -205,15 +205,15 @@ Disassembly of section .text.init: 284: 00301863 bne zero,gp,294 00000288 : - 288: 00100d13 li s10,1 - 28c: 00000d93 li s11,0 + 288: 00000d93 li s11,0 + 28c: 00100d13 li s10,1 00000290 : 290: 0000006f j 290 00000294 : - 294: 00100d13 li s10,1 - 298: 00100d93 li s11,1 + 294: 00100d93 li s11,1 + 298: 00100d13 li s10,1 0000029c : 29c: 0000006f j 29c @@ -243,3 +243,18 @@ Disassembly of section .tohost: 00001080 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-lhu.mem b/tests/isa/generated/rv32ui-p-lhu.mem new file mode 100644 index 0000000..9a67de9 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-lhu.mem @@ -0,0 +1,1058 @@ +00000d13 +00000d93 +00001097 +ff808093 +0000df03 +0ff00e93 +00200193 +27df1663 +00001097 +fe008093 +0020df03 +00010eb7 +f00e8e93 +00300193 +25df1863 +00001097 +fc408093 +0040df03 +00001eb7 +ff0e8e93 +00400193 +23df1a63 +00001097 +fa808093 +0060df03 +0000feb7 +00fe8e93 +00500193 +21df1c63 +00001097 +f9208093 +ffa0df03 +0ff00e93 +00600193 +21df1063 +00001097 +f7a08093 +ffc0df03 +00010eb7 +f00e8e93 +00700193 +1fdf1263 +00001097 +f5e08093 +ffe0df03 +00001eb7 +ff0e8e93 +00800193 +1ddf1463 +00001097 +f4208093 +0000df03 +0000feb7 +00fe8e93 +00900193 +1bdf1663 +00001097 +f2008093 +fe008093 +0200d283 +0ff00e93 +00a00193 +19d29863 +00001097 +f0408093 +ffb08093 +0070d283 +00010eb7 +f00e8e93 +00b00193 +17d29863 +00c00193 +00000213 +00001097 +ede08093 +0020df03 +000f0313 +00001eb7 +ff0e8e93 +15d31663 +00120213 +00200293 +fc521ee3 +00d00193 +00000213 +00001097 +eb008093 +0020df03 +00000013 +000f0313 +0000feb7 +00fe8e93 +11d31c63 +00120213 +00200293 +fc521ce3 +00e00193 +00000213 +00001097 +e7808093 +0020df03 +00000013 +00000013 +000f0313 +00010eb7 +f00e8e93 +0fd31063 +00120213 +00200293 +fc521ae3 +00f00193 +00000213 +00001097 +e4208093 +0020df03 +00001eb7 +ff0e8e93 +0bdf1a63 +00120213 +00200293 +fe5210e3 +01000193 +00000213 +00001097 +e1808093 +00000013 +0020df03 +0000feb7 +00fe8e93 +09df1263 +00120213 +00200293 +fc521ee3 +01100193 +00000213 +00001097 +de408093 +00000013 +00000013 +0020df03 +00010eb7 +f00e8e93 +05df1863 +00120213 +00200293 +fc521ce3 +00001297 +db828293 +0002d103 +00200113 +00200e93 +01200193 +03d11463 +00001297 +d9c28293 +0002d103 +00000013 +00200113 +00200e93 +01300193 +01d11463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +ff0000ff +f00f0ff0 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-lhu.verilog b/tests/isa/generated/rv32ui-p-lhu.verilog old mode 100644 new mode 100755 index 18961d7..b397ca0 --- a/tests/isa/generated/rv32ui-p-lhu.verilog +++ b/tests/isa/generated/rv32ui-p-lhu.verilog @@ -1,54 +1,54 @@ -@00000000 -13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF -03 DF 00 00 93 0E F0 0F 93 01 20 00 63 16 DF 27 -97 10 00 00 93 80 00 FE 03 DF 20 00 B7 0E 01 00 -93 8E 0E F0 93 01 30 00 63 18 DF 25 97 10 00 00 -93 80 40 FC 03 DF 40 00 B7 1E 00 00 93 8E 0E FF -93 01 40 00 63 1A DF 23 97 10 00 00 93 80 80 FA -03 DF 60 00 B7 FE 00 00 93 8E FE 00 93 01 50 00 -63 1C DF 21 97 10 00 00 93 80 20 F9 03 DF A0 FF -93 0E F0 0F 93 01 60 00 63 10 DF 21 97 10 00 00 -93 80 A0 F7 03 DF C0 FF B7 0E 01 00 93 8E 0E F0 -93 01 70 00 63 12 DF 1F 97 10 00 00 93 80 E0 F5 -03 DF E0 FF B7 1E 00 00 93 8E 0E FF 93 01 80 00 -63 14 DF 1D 97 10 00 00 93 80 20 F4 03 DF 00 00 -B7 FE 00 00 93 8E FE 00 93 01 90 00 63 16 DF 1B -97 10 00 00 93 80 00 F2 93 80 00 FE 83 D2 00 02 -93 0E F0 0F 93 01 A0 00 63 98 D2 19 97 10 00 00 -93 80 40 F0 93 80 B0 FF 83 D2 70 00 B7 0E 01 00 -93 8E 0E F0 93 01 B0 00 63 98 D2 17 93 01 C0 00 -13 02 00 00 97 10 00 00 93 80 E0 ED 03 DF 20 00 -13 03 0F 00 B7 1E 00 00 93 8E 0E FF 63 16 D3 15 -13 02 12 00 93 02 20 00 E3 1E 52 FC 93 01 D0 00 -13 02 00 00 97 10 00 00 93 80 00 EB 03 DF 20 00 -13 00 00 00 13 03 0F 00 B7 FE 00 00 93 8E FE 00 -63 1C D3 11 13 02 12 00 93 02 20 00 E3 1C 52 FC -93 01 E0 00 13 02 00 00 97 10 00 00 93 80 80 E7 -03 DF 20 00 13 00 00 00 13 00 00 00 13 03 0F 00 -B7 0E 01 00 93 8E 0E F0 63 10 D3 0F 13 02 12 00 -93 02 20 00 E3 1A 52 FC 93 01 F0 00 13 02 00 00 -97 10 00 00 93 80 20 E4 03 DF 20 00 B7 1E 00 00 -93 8E 0E FF 63 1A DF 0B 13 02 12 00 93 02 20 00 -E3 10 52 FE 93 01 00 01 13 02 00 00 97 10 00 00 -93 80 80 E1 13 00 00 00 03 DF 20 00 B7 FE 00 00 -93 8E FE 00 63 12 DF 09 13 02 12 00 93 02 20 00 -E3 1E 52 FC 93 01 10 01 13 02 00 00 97 10 00 00 -93 80 40 DE 13 00 00 00 13 00 00 00 03 DF 20 00 -B7 0E 01 00 93 8E 0E F0 63 18 DF 05 13 02 12 00 -93 02 20 00 E3 1C 52 FC 97 12 00 00 93 82 82 DB -03 D1 02 00 13 01 20 00 93 0E 20 00 93 01 20 01 -63 14 D1 03 97 12 00 00 93 82 C2 D9 03 D1 02 00 -13 00 00 00 13 01 20 00 93 0E 20 00 93 01 30 01 -63 14 D1 01 63 18 30 00 13 0D 10 00 93 0D 00 00 -6F 00 00 00 13 0D 10 00 93 0D 10 00 6F 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00001000 -FF 00 00 FF F0 0F 0F F0 00 00 00 00 00 00 00 00 -@00001040 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF +03 DF 00 00 93 0E F0 0F 93 01 20 00 63 16 DF 27 +97 10 00 00 93 80 00 FE 03 DF 20 00 B7 0E 01 00 +93 8E 0E F0 93 01 30 00 63 18 DF 25 97 10 00 00 +93 80 40 FC 03 DF 40 00 B7 1E 00 00 93 8E 0E FF +93 01 40 00 63 1A DF 23 97 10 00 00 93 80 80 FA +03 DF 60 00 B7 FE 00 00 93 8E FE 00 93 01 50 00 +63 1C DF 21 97 10 00 00 93 80 20 F9 03 DF A0 FF +93 0E F0 0F 93 01 60 00 63 10 DF 21 97 10 00 00 +93 80 A0 F7 03 DF C0 FF B7 0E 01 00 93 8E 0E F0 +93 01 70 00 63 12 DF 1F 97 10 00 00 93 80 E0 F5 +03 DF E0 FF B7 1E 00 00 93 8E 0E FF 93 01 80 00 +63 14 DF 1D 97 10 00 00 93 80 20 F4 03 DF 00 00 +B7 FE 00 00 93 8E FE 00 93 01 90 00 63 16 DF 1B +97 10 00 00 93 80 00 F2 93 80 00 FE 83 D2 00 02 +93 0E F0 0F 93 01 A0 00 63 98 D2 19 97 10 00 00 +93 80 40 F0 93 80 B0 FF 83 D2 70 00 B7 0E 01 00 +93 8E 0E F0 93 01 B0 00 63 98 D2 17 93 01 C0 00 +13 02 00 00 97 10 00 00 93 80 E0 ED 03 DF 20 00 +13 03 0F 00 B7 1E 00 00 93 8E 0E FF 63 16 D3 15 +13 02 12 00 93 02 20 00 E3 1E 52 FC 93 01 D0 00 +13 02 00 00 97 10 00 00 93 80 00 EB 03 DF 20 00 +13 00 00 00 13 03 0F 00 B7 FE 00 00 93 8E FE 00 +63 1C D3 11 13 02 12 00 93 02 20 00 E3 1C 52 FC +93 01 E0 00 13 02 00 00 97 10 00 00 93 80 80 E7 +03 DF 20 00 13 00 00 00 13 00 00 00 13 03 0F 00 +B7 0E 01 00 93 8E 0E F0 63 10 D3 0F 13 02 12 00 +93 02 20 00 E3 1A 52 FC 93 01 F0 00 13 02 00 00 +97 10 00 00 93 80 20 E4 03 DF 20 00 B7 1E 00 00 +93 8E 0E FF 63 1A DF 0B 13 02 12 00 93 02 20 00 +E3 10 52 FE 93 01 00 01 13 02 00 00 97 10 00 00 +93 80 80 E1 13 00 00 00 03 DF 20 00 B7 FE 00 00 +93 8E FE 00 63 12 DF 09 13 02 12 00 93 02 20 00 +E3 1E 52 FC 93 01 10 01 13 02 00 00 97 10 00 00 +93 80 40 DE 13 00 00 00 13 00 00 00 03 DF 20 00 +B7 0E 01 00 93 8E 0E F0 63 18 DF 05 13 02 12 00 +93 02 20 00 E3 1C 52 FC 97 12 00 00 93 82 82 DB +03 D1 02 00 13 01 20 00 93 0E 20 00 93 01 20 01 +63 14 D1 03 97 12 00 00 93 82 C2 D9 03 D1 02 00 +13 00 00 00 13 01 20 00 93 0E 20 00 93 01 30 01 +63 14 D1 01 63 18 30 00 93 0D 00 00 13 0D 10 00 +6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00001000 +FF 00 00 FF F0 0F 0F F0 00 00 00 00 00 00 00 00 +@00001040 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-lui b/tests/isa/generated/rv32ui-p-lui old mode 100644 new mode 100755 index 01d9e81..57188c5 Binary files a/tests/isa/generated/rv32ui-p-lui and b/tests/isa/generated/rv32ui-p-lui differ diff --git a/tests/isa/generated/rv32ui-p-lui.bin b/tests/isa/generated/rv32ui-p-lui.bin old mode 100644 new mode 100755 index 7c7eb79..449f263 Binary files a/tests/isa/generated/rv32ui-p-lui.bin and b/tests/isa/generated/rv32ui-p-lui.bin differ diff --git a/tests/isa/generated/rv32ui-p-lui.dump b/tests/isa/generated/rv32ui-p-lui.dump index 7bfdb46..7f28986 100644 --- a/tests/isa/generated/rv32ui-p-lui.dump +++ b/tests/isa/generated/rv32ui-p-lui.dump @@ -43,15 +43,15 @@ Disassembly of section .text.init: 64: 00301863 bne zero,gp,74 00000068 : - 68: 00100d13 li s10,1 - 6c: 00000d93 li s11,0 + 68: 00000d93 li s11,0 + 6c: 00100d13 li s10,1 00000070 : 70: 0000006f j 70 00000074 : - 74: 00100d13 li s10,1 - 78: 00100d93 li s11,1 + 74: 00100d93 li s11,1 + 78: 00100d13 li s10,1 0000007c : 7c: 0000006f j 7c @@ -65,3 +65,18 @@ Disassembly of section .tohost: 00000100 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-lui.mem b/tests/isa/generated/rv32ui-p-lui.mem new file mode 100644 index 0000000..2d118d0 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-lui.mem @@ -0,0 +1,66 @@ +00000d13 +00000d93 +000000b7 +00000e93 +00200193 +05d09a63 +fffff0b7 +4010d093 +80000e93 +00300193 +05d09063 +7ffff0b7 +4140d093 +7ff00e93 +00400193 +03d09663 +800000b7 +4140d093 +80000e93 +00500193 +01d09c63 +80000037 +00000e93 +00600193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-lui.verilog b/tests/isa/generated/rv32ui-p-lui.verilog old mode 100644 new mode 100755 index d6392c3..7aeed8f --- a/tests/isa/generated/rv32ui-p-lui.verilog +++ b/tests/isa/generated/rv32ui-p-lui.verilog @@ -1,16 +1,16 @@ -@00000000 -13 0D 00 00 93 0D 00 00 B7 00 00 00 93 0E 00 00 -93 01 20 00 63 9A D0 05 B7 F0 FF FF 93 D0 10 40 -93 0E 00 80 93 01 30 00 63 90 D0 05 B7 F0 FF 7F -93 D0 40 41 93 0E F0 7F 93 01 40 00 63 96 D0 03 -B7 00 00 80 93 D0 40 41 93 0E 00 80 93 01 50 00 -63 9C D0 01 37 00 00 80 93 0E 00 00 93 01 60 00 -63 14 D0 01 63 18 30 00 13 0D 10 00 93 0D 00 00 -6F 00 00 00 13 0D 10 00 93 0D 10 00 6F 00 00 00 -00 00 00 00 -@000000C0 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 B7 00 00 00 93 0E 00 00 +93 01 20 00 63 9A D0 05 B7 F0 FF FF 93 D0 10 40 +93 0E 00 80 93 01 30 00 63 90 D0 05 B7 F0 FF 7F +93 D0 40 41 93 0E F0 7F 93 01 40 00 63 96 D0 03 +B7 00 00 80 93 D0 40 41 93 0E 00 80 93 01 50 00 +63 9C D0 01 37 00 00 80 93 0E 00 00 93 01 60 00 +63 14 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 +6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +00 00 00 00 +@000000C0 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-lw b/tests/isa/generated/rv32ui-p-lw old mode 100644 new mode 100755 index b38cda0..5dde85c Binary files a/tests/isa/generated/rv32ui-p-lw and b/tests/isa/generated/rv32ui-p-lw differ diff --git a/tests/isa/generated/rv32ui-p-lw.bin b/tests/isa/generated/rv32ui-p-lw.bin old mode 100644 new mode 100755 index 68a83b3..c709f31 Binary files a/tests/isa/generated/rv32ui-p-lw.bin and b/tests/isa/generated/rv32ui-p-lw.bin differ diff --git a/tests/isa/generated/rv32ui-p-lw.dump b/tests/isa/generated/rv32ui-p-lw.dump index b5c5072..b7a8d30 100644 --- a/tests/isa/generated/rv32ui-p-lw.dump +++ b/tests/isa/generated/rv32ui-p-lw.dump @@ -208,15 +208,15 @@ Disassembly of section .text.init: 290: 00301863 bne zero,gp,2a0 00000294 : - 294: 00100d13 li s10,1 - 298: 00000d93 li s11,0 + 294: 00000d93 li s11,0 + 298: 00100d13 li s10,1 0000029c : 29c: 0000006f j 29c 000002a0 : - 2a0: 00100d13 li s10,1 - 2a4: 00100d93 li s11,1 + 2a0: 00100d93 li s11,1 + 2a4: 00100d13 li s10,1 000002a8 : 2a8: 0000006f j 2a8 @@ -246,3 +246,18 @@ Disassembly of section .tohost: 00001080 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-lw.mem b/tests/isa/generated/rv32ui-p-lw.mem new file mode 100644 index 0000000..eca02e2 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-lw.mem @@ -0,0 +1,1058 @@ +00000d13 +00000d93 +00001097 +ff808093 +0000af03 +00ff0eb7 +0ffe8e93 +00200193 +27df1a63 +00001097 +fdc08093 +0040af03 +ff010eb7 +f00e8e93 +00300193 +25df1c63 +00001097 +fc008093 +0080af03 +0ff01eb7 +ff0e8e93 +00400193 +23df1e63 +00001097 +fa408093 +00c0af03 +f00ffeb7 +00fe8e93 +00500193 +23df1063 +00001097 +f9408093 +ff40af03 +00ff0eb7 +0ffe8e93 +00600193 +21df1263 +00001097 +f7808093 +ff80af03 +ff010eb7 +f00e8e93 +00700193 +1fdf1463 +00001097 +f5c08093 +ffc0af03 +0ff01eb7 +ff0e8e93 +00800193 +1ddf1663 +00001097 +f4008093 +0000af03 +f00ffeb7 +00fe8e93 +00900193 +1bdf1863 +00001097 +f1808093 +fe008093 +0200a283 +00ff0eb7 +0ffe8e93 +00a00193 +19d29863 +00001097 +ef808093 +ffd08093 +0070a283 +ff010eb7 +f00e8e93 +00b00193 +17d29863 +00c00193 +00000213 +00001097 +ed408093 +0040af03 +000f0313 +0ff01eb7 +ff0e8e93 +15d31663 +00120213 +00200293 +fc521ee3 +00d00193 +00000213 +00001097 +ea808093 +0040af03 +00000013 +000f0313 +f00ffeb7 +00fe8e93 +11d31c63 +00120213 +00200293 +fc521ce3 +00e00193 +00000213 +00001097 +e6c08093 +0040af03 +00000013 +00000013 +000f0313 +ff010eb7 +f00e8e93 +0fd31063 +00120213 +00200293 +fc521ae3 +00f00193 +00000213 +00001097 +e3808093 +0040af03 +0ff01eb7 +ff0e8e93 +0bdf1a63 +00120213 +00200293 +fe5210e3 +01000193 +00000213 +00001097 +e1008093 +00000013 +0040af03 +f00ffeb7 +00fe8e93 +09df1263 +00120213 +00200293 +fc521ee3 +01100193 +00000213 +00001097 +dd808093 +00000013 +00000013 +0040af03 +ff010eb7 +f00e8e93 +05df1863 +00120213 +00200293 +fc521ce3 +00001297 +dac28293 +0002a103 +00200113 +00200e93 +01200193 +03d11463 +00001297 +d9028293 +0002a103 +00000013 +00200113 +00200e93 +01300193 +01d11463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00ff00ff +ff00ff00 +0ff00ff0 +f00ff00f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-lw.verilog b/tests/isa/generated/rv32ui-p-lw.verilog old mode 100644 new mode 100755 index 339220a..45b1988 --- a/tests/isa/generated/rv32ui-p-lw.verilog +++ b/tests/isa/generated/rv32ui-p-lw.verilog @@ -1,54 +1,54 @@ -@00000000 -13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF -03 AF 00 00 B7 0E FF 00 93 8E FE 0F 93 01 20 00 -63 1A DF 27 97 10 00 00 93 80 C0 FD 03 AF 40 00 -B7 0E 01 FF 93 8E 0E F0 93 01 30 00 63 1C DF 25 -97 10 00 00 93 80 00 FC 03 AF 80 00 B7 1E F0 0F -93 8E 0E FF 93 01 40 00 63 1E DF 23 97 10 00 00 -93 80 40 FA 03 AF C0 00 B7 FE 0F F0 93 8E FE 00 -93 01 50 00 63 10 DF 23 97 10 00 00 93 80 40 F9 -03 AF 40 FF B7 0E FF 00 93 8E FE 0F 93 01 60 00 -63 12 DF 21 97 10 00 00 93 80 80 F7 03 AF 80 FF -B7 0E 01 FF 93 8E 0E F0 93 01 70 00 63 14 DF 1F -97 10 00 00 93 80 C0 F5 03 AF C0 FF B7 1E F0 0F -93 8E 0E FF 93 01 80 00 63 16 DF 1D 97 10 00 00 -93 80 00 F4 03 AF 00 00 B7 FE 0F F0 93 8E FE 00 -93 01 90 00 63 18 DF 1B 97 10 00 00 93 80 80 F1 -93 80 00 FE 83 A2 00 02 B7 0E FF 00 93 8E FE 0F -93 01 A0 00 63 98 D2 19 97 10 00 00 93 80 80 EF -93 80 D0 FF 83 A2 70 00 B7 0E 01 FF 93 8E 0E F0 -93 01 B0 00 63 98 D2 17 93 01 C0 00 13 02 00 00 -97 10 00 00 93 80 40 ED 03 AF 40 00 13 03 0F 00 -B7 1E F0 0F 93 8E 0E FF 63 16 D3 15 13 02 12 00 -93 02 20 00 E3 1E 52 FC 93 01 D0 00 13 02 00 00 -97 10 00 00 93 80 80 EA 03 AF 40 00 13 00 00 00 -13 03 0F 00 B7 FE 0F F0 93 8E FE 00 63 1C D3 11 -13 02 12 00 93 02 20 00 E3 1C 52 FC 93 01 E0 00 -13 02 00 00 97 10 00 00 93 80 C0 E6 03 AF 40 00 -13 00 00 00 13 00 00 00 13 03 0F 00 B7 0E 01 FF -93 8E 0E F0 63 10 D3 0F 13 02 12 00 93 02 20 00 -E3 1A 52 FC 93 01 F0 00 13 02 00 00 97 10 00 00 -93 80 80 E3 03 AF 40 00 B7 1E F0 0F 93 8E 0E FF -63 1A DF 0B 13 02 12 00 93 02 20 00 E3 10 52 FE -93 01 00 01 13 02 00 00 97 10 00 00 93 80 00 E1 -13 00 00 00 03 AF 40 00 B7 FE 0F F0 93 8E FE 00 -63 12 DF 09 13 02 12 00 93 02 20 00 E3 1E 52 FC -93 01 10 01 13 02 00 00 97 10 00 00 93 80 80 DD -13 00 00 00 13 00 00 00 03 AF 40 00 B7 0E 01 FF -93 8E 0E F0 63 18 DF 05 13 02 12 00 93 02 20 00 -E3 1C 52 FC 97 12 00 00 93 82 C2 DA 03 A1 02 00 -13 01 20 00 93 0E 20 00 93 01 20 01 63 14 D1 03 -97 12 00 00 93 82 02 D9 03 A1 02 00 13 00 00 00 -13 01 20 00 93 0E 20 00 93 01 30 01 63 14 D1 01 -63 18 30 00 13 0D 10 00 93 0D 00 00 6F 00 00 00 -13 0D 10 00 93 0D 10 00 6F 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00001000 -FF 00 FF 00 00 FF 00 FF F0 0F F0 0F 0F F0 0F F0 -@00001040 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF +03 AF 00 00 B7 0E FF 00 93 8E FE 0F 93 01 20 00 +63 1A DF 27 97 10 00 00 93 80 C0 FD 03 AF 40 00 +B7 0E 01 FF 93 8E 0E F0 93 01 30 00 63 1C DF 25 +97 10 00 00 93 80 00 FC 03 AF 80 00 B7 1E F0 0F +93 8E 0E FF 93 01 40 00 63 1E DF 23 97 10 00 00 +93 80 40 FA 03 AF C0 00 B7 FE 0F F0 93 8E FE 00 +93 01 50 00 63 10 DF 23 97 10 00 00 93 80 40 F9 +03 AF 40 FF B7 0E FF 00 93 8E FE 0F 93 01 60 00 +63 12 DF 21 97 10 00 00 93 80 80 F7 03 AF 80 FF +B7 0E 01 FF 93 8E 0E F0 93 01 70 00 63 14 DF 1F +97 10 00 00 93 80 C0 F5 03 AF C0 FF B7 1E F0 0F +93 8E 0E FF 93 01 80 00 63 16 DF 1D 97 10 00 00 +93 80 00 F4 03 AF 00 00 B7 FE 0F F0 93 8E FE 00 +93 01 90 00 63 18 DF 1B 97 10 00 00 93 80 80 F1 +93 80 00 FE 83 A2 00 02 B7 0E FF 00 93 8E FE 0F +93 01 A0 00 63 98 D2 19 97 10 00 00 93 80 80 EF +93 80 D0 FF 83 A2 70 00 B7 0E 01 FF 93 8E 0E F0 +93 01 B0 00 63 98 D2 17 93 01 C0 00 13 02 00 00 +97 10 00 00 93 80 40 ED 03 AF 40 00 13 03 0F 00 +B7 1E F0 0F 93 8E 0E FF 63 16 D3 15 13 02 12 00 +93 02 20 00 E3 1E 52 FC 93 01 D0 00 13 02 00 00 +97 10 00 00 93 80 80 EA 03 AF 40 00 13 00 00 00 +13 03 0F 00 B7 FE 0F F0 93 8E FE 00 63 1C D3 11 +13 02 12 00 93 02 20 00 E3 1C 52 FC 93 01 E0 00 +13 02 00 00 97 10 00 00 93 80 C0 E6 03 AF 40 00 +13 00 00 00 13 00 00 00 13 03 0F 00 B7 0E 01 FF +93 8E 0E F0 63 10 D3 0F 13 02 12 00 93 02 20 00 +E3 1A 52 FC 93 01 F0 00 13 02 00 00 97 10 00 00 +93 80 80 E3 03 AF 40 00 B7 1E F0 0F 93 8E 0E FF +63 1A DF 0B 13 02 12 00 93 02 20 00 E3 10 52 FE +93 01 00 01 13 02 00 00 97 10 00 00 93 80 00 E1 +13 00 00 00 03 AF 40 00 B7 FE 0F F0 93 8E FE 00 +63 12 DF 09 13 02 12 00 93 02 20 00 E3 1E 52 FC +93 01 10 01 13 02 00 00 97 10 00 00 93 80 80 DD +13 00 00 00 13 00 00 00 03 AF 40 00 B7 0E 01 FF +93 8E 0E F0 63 18 DF 05 13 02 12 00 93 02 20 00 +E3 1C 52 FC 97 12 00 00 93 82 C2 DA 03 A1 02 00 +13 01 20 00 93 0E 20 00 93 01 20 01 63 14 D1 03 +97 12 00 00 93 82 02 D9 03 A1 02 00 13 00 00 00 +13 01 20 00 93 0E 20 00 93 01 30 01 63 14 D1 01 +63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00 +93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00001000 +FF 00 FF 00 00 FF 00 FF F0 0F F0 0F 0F F0 0F F0 +@00001040 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-or b/tests/isa/generated/rv32ui-p-or old mode 100644 new mode 100755 index e55ed55..f2f7a35 Binary files a/tests/isa/generated/rv32ui-p-or and b/tests/isa/generated/rv32ui-p-or differ diff --git a/tests/isa/generated/rv32ui-p-or.bin b/tests/isa/generated/rv32ui-p-or.bin old mode 100644 new mode 100755 index f365d1b..c8abbf3 Binary files a/tests/isa/generated/rv32ui-p-or.bin and b/tests/isa/generated/rv32ui-p-or.bin differ diff --git a/tests/isa/generated/rv32ui-p-or.dump b/tests/isa/generated/rv32ui-p-or.dump index 22bf579..0f85dd5 100644 --- a/tests/isa/generated/rv32ui-p-or.dump +++ b/tests/isa/generated/rv32ui-p-or.dump @@ -366,15 +366,15 @@ Disassembly of section .text.init: 4c8: 00301863 bne zero,gp,4d8 000004cc : - 4cc: 00100d13 li s10,1 - 4d0: 00000d93 li s11,0 + 4cc: 00000d93 li s11,0 + 4d0: 00100d13 li s10,1 000004d4 : 4d4: 0000006f j 4d4 000004d8 : - 4d8: 00100d13 li s10,1 - 4dc: 00100d93 li s11,1 + 4d8: 00100d93 li s11,1 + 4dc: 00100d13 li s10,1 000004e0 : 4e0: 0000006f j 4e0 @@ -387,3 +387,18 @@ Disassembly of section .tohost: 00000580 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-or.mem b/tests/isa/generated/rv32ui-p-or.mem new file mode 100644 index 0000000..701ecae --- /dev/null +++ b/tests/isa/generated/rv32ui-p-or.mem @@ -0,0 +1,354 @@ +00000d13 +00000d93 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020ef33 +ff100eb7 +f0fe8e93 +00200193 +4bdf1263 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020ef33 +fff10eb7 +ff0e8e93 +00300193 +49df1063 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +0020ef33 +0fff1eb7 +fffe8e93 +00400193 +45df1e63 +f00ff0b7 +00f08093 +f0f0f137 +0f010113 +0020ef33 +f0fffeb7 +0ffe8e93 +00500193 +43df1c63 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020e0b3 +ff100eb7 +f0fe8e93 +00600193 +41d09a63 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020e133 +ff100eb7 +f0fe8e93 +00700193 +3fd11863 +ff0100b7 +f0008093 +0010e0b3 +ff010eb7 +f00e8e93 +00800193 +3dd09a63 +00000213 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020ef33 +000f0313 +00120213 +00200293 +fe5210e3 +ff100eb7 +f0fe8e93 +00900193 +39d31e63 +00000213 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020ef33 +00000013 +000f0313 +00120213 +00200293 +fc521ee3 +fff10eb7 +ff0e8e93 +00a00193 +37d31063 +00000213 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +0020ef33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fc521ce3 +0fff1eb7 +fffe8e93 +00b00193 +33d31063 +00000213 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020ef33 +00120213 +00200293 +fe5212e3 +ff100eb7 +f0fe8e93 +00c00193 +2fdf1663 +00000213 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +00000013 +0020ef33 +00120213 +00200293 +fe5210e3 +fff10eb7 +ff0e8e93 +00d00193 +2bdf1a63 +00000213 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +00000013 +00000013 +0020ef33 +00120213 +00200293 +fc521ee3 +0fff1eb7 +fffe8e93 +00e00193 +27df1c63 +00000213 +ff0100b7 +f0008093 +00000013 +0f0f1137 +f0f10113 +0020ef33 +00120213 +00200293 +fe5210e3 +ff100eb7 +f0fe8e93 +00f00193 +25df1063 +00000213 +0ff010b7 +ff008093 +00000013 +f0f0f137 +0f010113 +00000013 +0020ef33 +00120213 +00200293 +fc521ee3 +fff10eb7 +ff0e8e93 +01000193 +21df1263 +00000213 +00ff00b7 +0ff08093 +00000013 +00000013 +0f0f1137 +f0f10113 +0020ef33 +00120213 +00200293 +fc521ee3 +0fff1eb7 +fffe8e93 +01100193 +1ddf1463 +00000213 +0f0f1137 +f0f10113 +ff0100b7 +f0008093 +0020ef33 +00120213 +00200293 +fe5212e3 +ff100eb7 +f0fe8e93 +01200193 +19df1a63 +00000213 +f0f0f137 +0f010113 +0ff010b7 +ff008093 +00000013 +0020ef33 +00120213 +00200293 +fe5210e3 +fff10eb7 +ff0e8e93 +01300193 +15df1e63 +00000213 +0f0f1137 +f0f10113 +00ff00b7 +0ff08093 +00000013 +00000013 +0020ef33 +00120213 +00200293 +fc521ee3 +0fff1eb7 +fffe8e93 +01400193 +13df1063 +00000213 +0f0f1137 +f0f10113 +00000013 +ff0100b7 +f0008093 +0020ef33 +00120213 +00200293 +fe5210e3 +ff100eb7 +f0fe8e93 +01500193 +0fdf1463 +00000213 +f0f0f137 +0f010113 +00000013 +0ff010b7 +ff008093 +00000013 +0020ef33 +00120213 +00200293 +fc521ee3 +fff10eb7 +ff0e8e93 +01600193 +0bdf1663 +00000213 +0f0f1137 +f0f10113 +00000013 +00000013 +00ff00b7 +0ff08093 +0020ef33 +00120213 +00200293 +fc521ee3 +0fff1eb7 +fffe8e93 +01700193 +07df1863 +ff0100b7 +f0008093 +00106133 +ff010eb7 +f00e8e93 +01800193 +05d11a63 +00ff00b7 +0ff08093 +0000e133 +00ff0eb7 +0ffe8e93 +01900193 +03d11c63 +000060b3 +00000e93 +01a00193 +03d09463 +111110b7 +11108093 +22222137 +22210113 +0020e033 +00000e93 +01b00193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-or.verilog b/tests/isa/generated/rv32ui-p-or.verilog old mode 100644 new mode 100755 index e6bc7a6..14a9111 --- a/tests/isa/generated/rv32ui-p-or.verilog +++ b/tests/isa/generated/rv32ui-p-or.verilog @@ -1,88 +1,88 @@ -@00000000 -13 0D 00 00 93 0D 00 00 B7 00 01 FF 93 80 00 F0 -37 11 0F 0F 13 01 F1 F0 33 EF 20 00 B7 0E 10 FF -93 8E FE F0 93 01 20 00 63 12 DF 4B B7 10 F0 0F -93 80 00 FF 37 F1 F0 F0 13 01 01 0F 33 EF 20 00 -B7 0E F1 FF 93 8E 0E FF 93 01 30 00 63 10 DF 49 -B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 -33 EF 20 00 B7 1E FF 0F 93 8E FE FF 93 01 40 00 -63 1E DF 45 B7 F0 0F F0 93 80 F0 00 37 F1 F0 F0 -13 01 01 0F 33 EF 20 00 B7 FE FF F0 93 8E FE 0F -93 01 50 00 63 1C DF 43 B7 00 01 FF 93 80 00 F0 -37 11 0F 0F 13 01 F1 F0 B3 E0 20 00 B7 0E 10 FF -93 8E FE F0 93 01 60 00 63 9A D0 41 B7 00 01 FF -93 80 00 F0 37 11 0F 0F 13 01 F1 F0 33 E1 20 00 -B7 0E 10 FF 93 8E FE F0 93 01 70 00 63 18 D1 3F -B7 00 01 FF 93 80 00 F0 B3 E0 10 00 B7 0E 01 FF -93 8E 0E F0 93 01 80 00 63 9A D0 3D 13 02 00 00 -B7 00 01 FF 93 80 00 F0 37 11 0F 0F 13 01 F1 F0 -33 EF 20 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 10 52 FE B7 0E 10 FF 93 8E FE F0 93 01 90 00 -63 1E D3 39 13 02 00 00 B7 10 F0 0F 93 80 00 FF -37 F1 F0 F0 13 01 01 0F 33 EF 20 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 1E 52 FC -B7 0E F1 FF 93 8E 0E FF 93 01 A0 00 63 10 D3 37 -13 02 00 00 B7 00 FF 00 93 80 F0 0F 37 11 0F 0F -13 01 F1 F0 33 EF 20 00 13 00 00 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 1C 52 FC -B7 1E FF 0F 93 8E FE FF 93 01 B0 00 63 10 D3 33 -13 02 00 00 B7 00 01 FF 93 80 00 F0 37 11 0F 0F -13 01 F1 F0 33 EF 20 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 0E 10 FF 93 8E FE F0 93 01 C0 00 -63 16 DF 2F 13 02 00 00 B7 10 F0 0F 93 80 00 FF -37 F1 F0 F0 13 01 01 0F 13 00 00 00 33 EF 20 00 -13 02 12 00 93 02 20 00 E3 10 52 FE B7 0E F1 FF -93 8E 0E FF 93 01 D0 00 63 1A DF 2B 13 02 00 00 -B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 -13 00 00 00 13 00 00 00 33 EF 20 00 13 02 12 00 -93 02 20 00 E3 1E 52 FC B7 1E FF 0F 93 8E FE FF -93 01 E0 00 63 1C DF 27 13 02 00 00 B7 00 01 FF -93 80 00 F0 13 00 00 00 37 11 0F 0F 13 01 F1 F0 -33 EF 20 00 13 02 12 00 93 02 20 00 E3 10 52 FE -B7 0E 10 FF 93 8E FE F0 93 01 F0 00 63 10 DF 25 -13 02 00 00 B7 10 F0 0F 93 80 00 FF 13 00 00 00 -37 F1 F0 F0 13 01 01 0F 13 00 00 00 33 EF 20 00 -13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E F1 FF -93 8E 0E FF 93 01 00 01 63 12 DF 21 13 02 00 00 -B7 00 FF 00 93 80 F0 0F 13 00 00 00 13 00 00 00 -37 11 0F 0F 13 01 F1 F0 33 EF 20 00 13 02 12 00 -93 02 20 00 E3 1E 52 FC B7 1E FF 0F 93 8E FE FF -93 01 10 01 63 14 DF 1D 13 02 00 00 37 11 0F 0F -13 01 F1 F0 B7 00 01 FF 93 80 00 F0 33 EF 20 00 -13 02 12 00 93 02 20 00 E3 12 52 FE B7 0E 10 FF -93 8E FE F0 93 01 20 01 63 1A DF 19 13 02 00 00 -37 F1 F0 F0 13 01 01 0F B7 10 F0 0F 93 80 00 FF -13 00 00 00 33 EF 20 00 13 02 12 00 93 02 20 00 -E3 10 52 FE B7 0E F1 FF 93 8E 0E FF 93 01 30 01 -63 1E DF 15 13 02 00 00 37 11 0F 0F 13 01 F1 F0 -B7 00 FF 00 93 80 F0 0F 13 00 00 00 13 00 00 00 -33 EF 20 00 13 02 12 00 93 02 20 00 E3 1E 52 FC -B7 1E FF 0F 93 8E FE FF 93 01 40 01 63 10 DF 13 -13 02 00 00 37 11 0F 0F 13 01 F1 F0 13 00 00 00 -B7 00 01 FF 93 80 00 F0 33 EF 20 00 13 02 12 00 -93 02 20 00 E3 10 52 FE B7 0E 10 FF 93 8E FE F0 -93 01 50 01 63 14 DF 0F 13 02 00 00 37 F1 F0 F0 -13 01 01 0F 13 00 00 00 B7 10 F0 0F 93 80 00 FF -13 00 00 00 33 EF 20 00 13 02 12 00 93 02 20 00 -E3 1E 52 FC B7 0E F1 FF 93 8E 0E FF 93 01 60 01 -63 16 DF 0B 13 02 00 00 37 11 0F 0F 13 01 F1 F0 -13 00 00 00 13 00 00 00 B7 00 FF 00 93 80 F0 0F -33 EF 20 00 13 02 12 00 93 02 20 00 E3 1E 52 FC -B7 1E FF 0F 93 8E FE FF 93 01 70 01 63 18 DF 07 -B7 00 01 FF 93 80 00 F0 33 61 10 00 B7 0E 01 FF -93 8E 0E F0 93 01 80 01 63 1A D1 05 B7 00 FF 00 -93 80 F0 0F 33 E1 00 00 B7 0E FF 00 93 8E FE 0F -93 01 90 01 63 1C D1 03 B3 60 00 00 93 0E 00 00 -93 01 A0 01 63 94 D0 03 B7 10 11 11 93 80 10 11 -37 21 22 22 13 01 21 22 33 E0 20 00 93 0E 00 00 -93 01 B0 01 63 14 D0 01 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000540 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 B7 00 01 FF 93 80 00 F0 +37 11 0F 0F 13 01 F1 F0 33 EF 20 00 B7 0E 10 FF +93 8E FE F0 93 01 20 00 63 12 DF 4B B7 10 F0 0F +93 80 00 FF 37 F1 F0 F0 13 01 01 0F 33 EF 20 00 +B7 0E F1 FF 93 8E 0E FF 93 01 30 00 63 10 DF 49 +B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 +33 EF 20 00 B7 1E FF 0F 93 8E FE FF 93 01 40 00 +63 1E DF 45 B7 F0 0F F0 93 80 F0 00 37 F1 F0 F0 +13 01 01 0F 33 EF 20 00 B7 FE FF F0 93 8E FE 0F +93 01 50 00 63 1C DF 43 B7 00 01 FF 93 80 00 F0 +37 11 0F 0F 13 01 F1 F0 B3 E0 20 00 B7 0E 10 FF +93 8E FE F0 93 01 60 00 63 9A D0 41 B7 00 01 FF +93 80 00 F0 37 11 0F 0F 13 01 F1 F0 33 E1 20 00 +B7 0E 10 FF 93 8E FE F0 93 01 70 00 63 18 D1 3F +B7 00 01 FF 93 80 00 F0 B3 E0 10 00 B7 0E 01 FF +93 8E 0E F0 93 01 80 00 63 9A D0 3D 13 02 00 00 +B7 00 01 FF 93 80 00 F0 37 11 0F 0F 13 01 F1 F0 +33 EF 20 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 10 52 FE B7 0E 10 FF 93 8E FE F0 93 01 90 00 +63 1E D3 39 13 02 00 00 B7 10 F0 0F 93 80 00 FF +37 F1 F0 F0 13 01 01 0F 33 EF 20 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 1E 52 FC +B7 0E F1 FF 93 8E 0E FF 93 01 A0 00 63 10 D3 37 +13 02 00 00 B7 00 FF 00 93 80 F0 0F 37 11 0F 0F +13 01 F1 F0 33 EF 20 00 13 00 00 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 1C 52 FC +B7 1E FF 0F 93 8E FE FF 93 01 B0 00 63 10 D3 33 +13 02 00 00 B7 00 01 FF 93 80 00 F0 37 11 0F 0F +13 01 F1 F0 33 EF 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 0E 10 FF 93 8E FE F0 93 01 C0 00 +63 16 DF 2F 13 02 00 00 B7 10 F0 0F 93 80 00 FF +37 F1 F0 F0 13 01 01 0F 13 00 00 00 33 EF 20 00 +13 02 12 00 93 02 20 00 E3 10 52 FE B7 0E F1 FF +93 8E 0E FF 93 01 D0 00 63 1A DF 2B 13 02 00 00 +B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 +13 00 00 00 13 00 00 00 33 EF 20 00 13 02 12 00 +93 02 20 00 E3 1E 52 FC B7 1E FF 0F 93 8E FE FF +93 01 E0 00 63 1C DF 27 13 02 00 00 B7 00 01 FF +93 80 00 F0 13 00 00 00 37 11 0F 0F 13 01 F1 F0 +33 EF 20 00 13 02 12 00 93 02 20 00 E3 10 52 FE +B7 0E 10 FF 93 8E FE F0 93 01 F0 00 63 10 DF 25 +13 02 00 00 B7 10 F0 0F 93 80 00 FF 13 00 00 00 +37 F1 F0 F0 13 01 01 0F 13 00 00 00 33 EF 20 00 +13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E F1 FF +93 8E 0E FF 93 01 00 01 63 12 DF 21 13 02 00 00 +B7 00 FF 00 93 80 F0 0F 13 00 00 00 13 00 00 00 +37 11 0F 0F 13 01 F1 F0 33 EF 20 00 13 02 12 00 +93 02 20 00 E3 1E 52 FC B7 1E FF 0F 93 8E FE FF +93 01 10 01 63 14 DF 1D 13 02 00 00 37 11 0F 0F +13 01 F1 F0 B7 00 01 FF 93 80 00 F0 33 EF 20 00 +13 02 12 00 93 02 20 00 E3 12 52 FE B7 0E 10 FF +93 8E FE F0 93 01 20 01 63 1A DF 19 13 02 00 00 +37 F1 F0 F0 13 01 01 0F B7 10 F0 0F 93 80 00 FF +13 00 00 00 33 EF 20 00 13 02 12 00 93 02 20 00 +E3 10 52 FE B7 0E F1 FF 93 8E 0E FF 93 01 30 01 +63 1E DF 15 13 02 00 00 37 11 0F 0F 13 01 F1 F0 +B7 00 FF 00 93 80 F0 0F 13 00 00 00 13 00 00 00 +33 EF 20 00 13 02 12 00 93 02 20 00 E3 1E 52 FC +B7 1E FF 0F 93 8E FE FF 93 01 40 01 63 10 DF 13 +13 02 00 00 37 11 0F 0F 13 01 F1 F0 13 00 00 00 +B7 00 01 FF 93 80 00 F0 33 EF 20 00 13 02 12 00 +93 02 20 00 E3 10 52 FE B7 0E 10 FF 93 8E FE F0 +93 01 50 01 63 14 DF 0F 13 02 00 00 37 F1 F0 F0 +13 01 01 0F 13 00 00 00 B7 10 F0 0F 93 80 00 FF +13 00 00 00 33 EF 20 00 13 02 12 00 93 02 20 00 +E3 1E 52 FC B7 0E F1 FF 93 8E 0E FF 93 01 60 01 +63 16 DF 0B 13 02 00 00 37 11 0F 0F 13 01 F1 F0 +13 00 00 00 13 00 00 00 B7 00 FF 00 93 80 F0 0F +33 EF 20 00 13 02 12 00 93 02 20 00 E3 1E 52 FC +B7 1E FF 0F 93 8E FE FF 93 01 70 01 63 18 DF 07 +B7 00 01 FF 93 80 00 F0 33 61 10 00 B7 0E 01 FF +93 8E 0E F0 93 01 80 01 63 1A D1 05 B7 00 FF 00 +93 80 F0 0F 33 E1 00 00 B7 0E FF 00 93 8E FE 0F +93 01 90 01 63 1C D1 03 B3 60 00 00 93 0E 00 00 +93 01 A0 01 63 94 D0 03 B7 10 11 11 93 80 10 11 +37 21 22 22 13 01 21 22 33 E0 20 00 93 0E 00 00 +93 01 B0 01 63 14 D0 01 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000540 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-ori b/tests/isa/generated/rv32ui-p-ori old mode 100644 new mode 100755 index 8d24d35..38d982d Binary files a/tests/isa/generated/rv32ui-p-ori and b/tests/isa/generated/rv32ui-p-ori differ diff --git a/tests/isa/generated/rv32ui-p-ori.bin b/tests/isa/generated/rv32ui-p-ori.bin old mode 100644 new mode 100755 index e939a15..dc67364 Binary files a/tests/isa/generated/rv32ui-p-ori.bin and b/tests/isa/generated/rv32ui-p-ori.bin differ diff --git a/tests/isa/generated/rv32ui-p-ori.dump b/tests/isa/generated/rv32ui-p-ori.dump index 0ffdfdd..cfde433 100644 --- a/tests/isa/generated/rv32ui-p-ori.dump +++ b/tests/isa/generated/rv32ui-p-ori.dump @@ -154,15 +154,15 @@ Disassembly of section .text.init: 1e0: 00301863 bne zero,gp,1f0 000001e4 : - 1e4: 00100d13 li s10,1 - 1e8: 00000d93 li s11,0 + 1e4: 00000d93 li s11,0 + 1e8: 00100d13 li s10,1 000001ec : 1ec: 0000006f j 1ec 000001f0 : - 1f0: 00100d13 li s10,1 - 1f4: 00100d93 li s11,1 + 1f0: 00100d93 li s11,1 + 1f4: 00100d13 li s10,1 000001f8 : 1f8: 0000006f j 1f8 @@ -175,3 +175,18 @@ Disassembly of section .tohost: 00000280 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-ori.mem b/tests/isa/generated/rv32ui-p-ori.mem new file mode 100644 index 0000000..c0f6cf4 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-ori.mem @@ -0,0 +1,162 @@ +00000d13 +00000d93 +ff0100b7 +f0008093 +f0f0ef13 +f0f00e93 +00200193 +1ddf1463 +0ff010b7 +ff008093 +0f00ef13 +0ff01eb7 +ff0e8e93 +00300193 +1bdf1663 +00ff00b7 +0ff08093 +70f0ef13 +00ff0eb7 +7ffe8e93 +00400193 +19df1863 +f00ff0b7 +00f08093 +0f00ef13 +f00ffeb7 +0ffe8e93 +00500193 +17df1a63 +ff0100b7 +f0008093 +0f00e093 +ff010eb7 +ff0e8e93 +00600193 +15d09c63 +00000213 +0ff010b7 +ff008093 +0f00ef13 +000f0313 +00120213 +00200293 +fe5214e3 +0ff01eb7 +ff0e8e93 +00700193 +13d31463 +00000213 +00ff00b7 +0ff08093 +70f0ef13 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00ff0eb7 +7ffe8e93 +00800193 +0fd31a63 +00000213 +f00ff0b7 +00f08093 +0f00ef13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +f00ffeb7 +0ffe8e93 +00900193 +0bd31e63 +00000213 +0ff010b7 +ff008093 +0f00ef13 +00120213 +00200293 +fe5216e3 +0ff01eb7 +ff0e8e93 +00a00193 +09df1863 +00000213 +00ff00b7 +0ff08093 +00000013 +f0f0ef13 +00120213 +00200293 +fe5214e3 +fff00e93 +00b00193 +07df1263 +00000213 +f00ff0b7 +00f08093 +00000013 +00000013 +0f00ef13 +00120213 +00200293 +fe5212e3 +f00ffeb7 +0ffe8e93 +00c00193 +03df1863 +0f006093 +0f000e93 +00d00193 +03d09063 +00ff00b7 +0ff08093 +70f0e013 +00000e93 +00e00193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-ori.verilog b/tests/isa/generated/rv32ui-p-ori.verilog old mode 100644 new mode 100755 index 901516b..c26f518 --- a/tests/isa/generated/rv32ui-p-ori.verilog +++ b/tests/isa/generated/rv32ui-p-ori.verilog @@ -1,40 +1,40 @@ -@00000000 -13 0D 00 00 93 0D 00 00 B7 00 01 FF 93 80 00 F0 -13 EF F0 F0 93 0E F0 F0 93 01 20 00 63 14 DF 1D -B7 10 F0 0F 93 80 00 FF 13 EF 00 0F B7 1E F0 0F -93 8E 0E FF 93 01 30 00 63 16 DF 1B B7 00 FF 00 -93 80 F0 0F 13 EF F0 70 B7 0E FF 00 93 8E FE 7F -93 01 40 00 63 18 DF 19 B7 F0 0F F0 93 80 F0 00 -13 EF 00 0F B7 FE 0F F0 93 8E FE 0F 93 01 50 00 -63 1A DF 17 B7 00 01 FF 93 80 00 F0 93 E0 00 0F -B7 0E 01 FF 93 8E 0E FF 93 01 60 00 63 9C D0 15 -13 02 00 00 B7 10 F0 0F 93 80 00 FF 13 EF 00 0F -13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 1E F0 0F 93 8E 0E FF 93 01 70 00 63 14 D3 13 -13 02 00 00 B7 00 FF 00 93 80 F0 0F 13 EF F0 70 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 0E FF 00 93 8E FE 7F 93 01 80 00 -63 1A D3 0F 13 02 00 00 B7 F0 0F F0 93 80 F0 00 -13 EF 00 0F 13 00 00 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 10 52 FE B7 FE 0F F0 -93 8E FE 0F 93 01 90 00 63 1E D3 0B 13 02 00 00 -B7 10 F0 0F 93 80 00 FF 13 EF 00 0F 13 02 12 00 -93 02 20 00 E3 16 52 FE B7 1E F0 0F 93 8E 0E FF -93 01 A0 00 63 18 DF 09 13 02 00 00 B7 00 FF 00 -93 80 F0 0F 13 00 00 00 13 EF F0 F0 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 0E F0 FF 93 01 B0 00 -63 12 DF 07 13 02 00 00 B7 F0 0F F0 93 80 F0 00 -13 00 00 00 13 00 00 00 13 EF 00 0F 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 FE 0F F0 93 8E FE 0F -93 01 C0 00 63 18 DF 03 93 60 00 0F 93 0E 00 0F -93 01 D0 00 63 90 D0 03 B7 00 FF 00 93 80 F0 0F -13 E0 F0 70 93 0E 00 00 93 01 E0 00 63 14 D0 01 -63 18 30 00 13 0D 10 00 93 0D 00 00 6F 00 00 00 -13 0D 10 00 93 0D 10 00 6F 00 00 00 00 00 00 00 -00 00 00 00 -@00000240 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 B7 00 01 FF 93 80 00 F0 +13 EF F0 F0 93 0E F0 F0 93 01 20 00 63 14 DF 1D +B7 10 F0 0F 93 80 00 FF 13 EF 00 0F B7 1E F0 0F +93 8E 0E FF 93 01 30 00 63 16 DF 1B B7 00 FF 00 +93 80 F0 0F 13 EF F0 70 B7 0E FF 00 93 8E FE 7F +93 01 40 00 63 18 DF 19 B7 F0 0F F0 93 80 F0 00 +13 EF 00 0F B7 FE 0F F0 93 8E FE 0F 93 01 50 00 +63 1A DF 17 B7 00 01 FF 93 80 00 F0 93 E0 00 0F +B7 0E 01 FF 93 8E 0E FF 93 01 60 00 63 9C D0 15 +13 02 00 00 B7 10 F0 0F 93 80 00 FF 13 EF 00 0F +13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE +B7 1E F0 0F 93 8E 0E FF 93 01 70 00 63 14 D3 13 +13 02 00 00 B7 00 FF 00 93 80 F0 0F 13 EF F0 70 +13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 0E FF 00 93 8E FE 7F 93 01 80 00 +63 1A D3 0F 13 02 00 00 B7 F0 0F F0 93 80 F0 00 +13 EF 00 0F 13 00 00 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 10 52 FE B7 FE 0F F0 +93 8E FE 0F 93 01 90 00 63 1E D3 0B 13 02 00 00 +B7 10 F0 0F 93 80 00 FF 13 EF 00 0F 13 02 12 00 +93 02 20 00 E3 16 52 FE B7 1E F0 0F 93 8E 0E FF +93 01 A0 00 63 18 DF 09 13 02 00 00 B7 00 FF 00 +93 80 F0 0F 13 00 00 00 13 EF F0 F0 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 0E F0 FF 93 01 B0 00 +63 12 DF 07 13 02 00 00 B7 F0 0F F0 93 80 F0 00 +13 00 00 00 13 00 00 00 13 EF 00 0F 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 FE 0F F0 93 8E FE 0F +93 01 C0 00 63 18 DF 03 93 60 00 0F 93 0E 00 0F +93 01 D0 00 63 90 D0 03 B7 00 FF 00 93 80 F0 0F +13 E0 F0 70 93 0E 00 00 93 01 E0 00 63 14 D0 01 +63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00 +93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00 +00 00 00 00 +@00000240 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-sb b/tests/isa/generated/rv32ui-p-sb old mode 100644 new mode 100755 index a4c3b7c..ce8a03b Binary files a/tests/isa/generated/rv32ui-p-sb and b/tests/isa/generated/rv32ui-p-sb differ diff --git a/tests/isa/generated/rv32ui-p-sb.bin b/tests/isa/generated/rv32ui-p-sb.bin old mode 100644 new mode 100755 index d21bc1d..b4050e3 Binary files a/tests/isa/generated/rv32ui-p-sb.bin and b/tests/isa/generated/rv32ui-p-sb.bin differ diff --git a/tests/isa/generated/rv32ui-p-sb.dump b/tests/isa/generated/rv32ui-p-sb.dump index 791562e..b980a64 100644 --- a/tests/isa/generated/rv32ui-p-sb.dump +++ b/tests/isa/generated/rv32ui-p-sb.dump @@ -306,15 +306,15 @@ Disassembly of section .text.init: 3f8: 00301863 bne zero,gp,408 000003fc : - 3fc: 00100d13 li s10,1 - 400: 00000d93 li s11,0 + 3fc: 00000d93 li s11,0 + 400: 00100d13 li s10,1 00000404 : 404: 0000006f j 404 00000408 : - 408: 00100d13 li s10,1 - 40c: 00100d93 li s11,1 + 408: 00100d93 li s11,1 + 40c: 00100d13 li s10,1 00000410 : 410: 0000006f j 410 @@ -361,3 +361,18 @@ Disassembly of section .tohost: 00001080 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-sb.mem b/tests/isa/generated/rv32ui-p-sb.mem new file mode 100644 index 0000000..df0037d --- /dev/null +++ b/tests/isa/generated/rv32ui-p-sb.mem @@ -0,0 +1,1058 @@ +00000d13 +00000d93 +00001097 +ff808093 +faa00113 +00208023 +00008f03 +faa00e93 +00200193 +3ddf1c63 +00001097 +fd808093 +00000113 +002080a3 +00108f03 +00000e93 +00300193 +3bdf1c63 +00001097 +fb808093 +fffff137 +fa010113 +00208123 +00209f03 +fffffeb7 +fa0e8e93 +00400193 +39df1863 +00001097 +f9008093 +00a00113 +002081a3 +00308f03 +00a00e93 +00500193 +37df1863 +00001097 +f7708093 +faa00113 +fe208ea3 +ffd08f03 +faa00e93 +00600193 +35df1863 +00001097 +f5708093 +00000113 +fe208f23 +ffe08f03 +00000e93 +00700193 +33df1863 +00001097 +f3708093 +fa000113 +fe208fa3 +fff08f03 +fa000e93 +00800193 +31df1863 +00001097 +f1708093 +00a00113 +00208023 +00008f03 +00a00e93 +00900193 +2fdf1863 +00001097 +ef808093 +12345137 +67810113 +fe008213 +02220023 +00008283 +07800e93 +00a00193 +2dd29463 +00001097 +ed008093 +00003137 +09810113 +ffa08093 +002083a3 +00001217 +eb920213 +00020283 +f9800e93 +00b00193 +29d29c63 +00c00193 +00000213 +fdd00093 +00001117 +e8c10113 +00110023 +00010f03 +fdd00e93 +27df1a63 +00120213 +00200293 +fc521ee3 +00d00193 +00000213 +fcd00093 +00001117 +e5c10113 +00000013 +001100a3 +00110f03 +fcd00e93 +25df1063 +00120213 +00200293 +fc521ce3 +00e00193 +00000213 +fcc00093 +00001117 +e2810113 +00000013 +00000013 +00110123 +00210f03 +fcc00e93 +21df1463 +00120213 +00200293 +fc521ae3 +00f00193 +00000213 +fbc00093 +00000013 +00001117 +dec10113 +001101a3 +00310f03 +fbc00e93 +1ddf1a63 +00120213 +00200293 +fc521ce3 +01000193 +00000213 +fbb00093 +00000013 +00001117 +db810113 +00000013 +00110223 +00410f03 +fbb00e93 +19df1e63 +00120213 +00200293 +fc521ae3 +01100193 +00000213 +fab00093 +00000013 +00000013 +00001117 +d7c10113 +001102a3 +00510f03 +fab00e93 +17df1263 +00120213 +00200293 +fc521ae3 +01200193 +00000213 +00001117 +d5010113 +03300093 +00110023 +00010f03 +03300e93 +13df1a63 +00120213 +00200293 +fc521ee3 +01300193 +00000213 +00001117 +d2010113 +02300093 +00000013 +001100a3 +00110f03 +02300e93 +11df1063 +00120213 +00200293 +fc521ce3 +01400193 +00000213 +00001117 +cec10113 +02200093 +00000013 +00000013 +00110123 +00210f03 +02200e93 +0ddf1463 +00120213 +00200293 +fc521ae3 +01500193 +00000213 +00001117 +cb410113 +00000013 +01200093 +001101a3 +00310f03 +01200e93 +09df1a63 +00120213 +00200293 +fc521ce3 +01600193 +00000213 +00001117 +c8010113 +00000013 +01100093 +00000013 +00110223 +00410f03 +01100e93 +05df1e63 +00120213 +00200293 +fc521ae3 +01700193 +00000213 +00001117 +c4810113 +00000013 +00000013 +00100093 +001102a3 +00510f03 +00100e93 +03df1263 +00120213 +00200293 +fc521ae3 +0ef00513 +00001597 +c1458593 +00a581a3 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +efefefef +efefefef +0000efef +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-sb.verilog b/tests/isa/generated/rv32ui-p-sb.verilog old mode 100644 new mode 100755 index 8e18bce..8663df8 --- a/tests/isa/generated/rv32ui-p-sb.verilog +++ b/tests/isa/generated/rv32ui-p-sb.verilog @@ -1,78 +1,78 @@ -@00000000 -13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF -13 01 A0 FA 23 80 20 00 03 8F 00 00 93 0E A0 FA -93 01 20 00 63 1C DF 3D 97 10 00 00 93 80 80 FD -13 01 00 00 A3 80 20 00 03 8F 10 00 93 0E 00 00 -93 01 30 00 63 1C DF 3B 97 10 00 00 93 80 80 FB -37 F1 FF FF 13 01 01 FA 23 81 20 00 03 9F 20 00 -B7 FE FF FF 93 8E 0E FA 93 01 40 00 63 18 DF 39 -97 10 00 00 93 80 00 F9 13 01 A0 00 A3 81 20 00 -03 8F 30 00 93 0E A0 00 93 01 50 00 63 18 DF 37 -97 10 00 00 93 80 70 F7 13 01 A0 FA A3 8E 20 FE -03 8F D0 FF 93 0E A0 FA 93 01 60 00 63 18 DF 35 -97 10 00 00 93 80 70 F5 13 01 00 00 23 8F 20 FE -03 8F E0 FF 93 0E 00 00 93 01 70 00 63 18 DF 33 -97 10 00 00 93 80 70 F3 13 01 00 FA A3 8F 20 FE -03 8F F0 FF 93 0E 00 FA 93 01 80 00 63 18 DF 31 -97 10 00 00 93 80 70 F1 13 01 A0 00 23 80 20 00 -03 8F 00 00 93 0E A0 00 93 01 90 00 63 18 DF 2F -97 10 00 00 93 80 80 EF 37 51 34 12 13 01 81 67 -13 82 00 FE 23 00 22 02 83 82 00 00 93 0E 80 07 -93 01 A0 00 63 94 D2 2D 97 10 00 00 93 80 00 ED -37 31 00 00 13 01 81 09 93 80 A0 FF A3 83 20 00 -17 12 00 00 13 02 92 EB 83 02 02 00 93 0E 80 F9 -93 01 B0 00 63 9C D2 29 93 01 C0 00 13 02 00 00 -93 00 D0 FD 17 11 00 00 13 01 C1 E8 23 00 11 00 -03 0F 01 00 93 0E D0 FD 63 1A DF 27 13 02 12 00 -93 02 20 00 E3 1E 52 FC 93 01 D0 00 13 02 00 00 -93 00 D0 FC 17 11 00 00 13 01 C1 E5 13 00 00 00 -A3 00 11 00 03 0F 11 00 93 0E D0 FC 63 10 DF 25 -13 02 12 00 93 02 20 00 E3 1C 52 FC 93 01 E0 00 -13 02 00 00 93 00 C0 FC 17 11 00 00 13 01 81 E2 -13 00 00 00 13 00 00 00 23 01 11 00 03 0F 21 00 -93 0E C0 FC 63 14 DF 21 13 02 12 00 93 02 20 00 -E3 1A 52 FC 93 01 F0 00 13 02 00 00 93 00 C0 FB -13 00 00 00 17 11 00 00 13 01 C1 DE A3 01 11 00 -03 0F 31 00 93 0E C0 FB 63 1A DF 1D 13 02 12 00 -93 02 20 00 E3 1C 52 FC 93 01 00 01 13 02 00 00 -93 00 B0 FB 13 00 00 00 17 11 00 00 13 01 81 DB -13 00 00 00 23 02 11 00 03 0F 41 00 93 0E B0 FB -63 1E DF 19 13 02 12 00 93 02 20 00 E3 1A 52 FC -93 01 10 01 13 02 00 00 93 00 B0 FA 13 00 00 00 -13 00 00 00 17 11 00 00 13 01 C1 D7 A3 02 11 00 -03 0F 51 00 93 0E B0 FA 63 12 DF 17 13 02 12 00 -93 02 20 00 E3 1A 52 FC 93 01 20 01 13 02 00 00 -17 11 00 00 13 01 01 D5 93 00 30 03 23 00 11 00 -03 0F 01 00 93 0E 30 03 63 1A DF 13 13 02 12 00 -93 02 20 00 E3 1E 52 FC 93 01 30 01 13 02 00 00 -17 11 00 00 13 01 01 D2 93 00 30 02 13 00 00 00 -A3 00 11 00 03 0F 11 00 93 0E 30 02 63 10 DF 11 -13 02 12 00 93 02 20 00 E3 1C 52 FC 93 01 40 01 -13 02 00 00 17 11 00 00 13 01 C1 CE 93 00 20 02 -13 00 00 00 13 00 00 00 23 01 11 00 03 0F 21 00 -93 0E 20 02 63 14 DF 0D 13 02 12 00 93 02 20 00 -E3 1A 52 FC 93 01 50 01 13 02 00 00 17 11 00 00 -13 01 41 CB 13 00 00 00 93 00 20 01 A3 01 11 00 -03 0F 31 00 93 0E 20 01 63 1A DF 09 13 02 12 00 -93 02 20 00 E3 1C 52 FC 93 01 60 01 13 02 00 00 -17 11 00 00 13 01 01 C8 13 00 00 00 93 00 10 01 -13 00 00 00 23 02 11 00 03 0F 41 00 93 0E 10 01 -63 1E DF 05 13 02 12 00 93 02 20 00 E3 1A 52 FC -93 01 70 01 13 02 00 00 17 11 00 00 13 01 81 C4 -13 00 00 00 13 00 00 00 93 00 10 00 A3 02 11 00 -03 0F 51 00 93 0E 10 00 63 12 DF 03 13 02 12 00 -93 02 20 00 E3 1A 52 FC 13 05 F0 0E 97 15 00 00 -93 85 45 C1 A3 81 A5 00 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00001000 -EF EF EF EF EF EF EF EF EF EF 00 00 00 00 00 00 -@00001040 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF +13 01 A0 FA 23 80 20 00 03 8F 00 00 93 0E A0 FA +93 01 20 00 63 1C DF 3D 97 10 00 00 93 80 80 FD +13 01 00 00 A3 80 20 00 03 8F 10 00 93 0E 00 00 +93 01 30 00 63 1C DF 3B 97 10 00 00 93 80 80 FB +37 F1 FF FF 13 01 01 FA 23 81 20 00 03 9F 20 00 +B7 FE FF FF 93 8E 0E FA 93 01 40 00 63 18 DF 39 +97 10 00 00 93 80 00 F9 13 01 A0 00 A3 81 20 00 +03 8F 30 00 93 0E A0 00 93 01 50 00 63 18 DF 37 +97 10 00 00 93 80 70 F7 13 01 A0 FA A3 8E 20 FE +03 8F D0 FF 93 0E A0 FA 93 01 60 00 63 18 DF 35 +97 10 00 00 93 80 70 F5 13 01 00 00 23 8F 20 FE +03 8F E0 FF 93 0E 00 00 93 01 70 00 63 18 DF 33 +97 10 00 00 93 80 70 F3 13 01 00 FA A3 8F 20 FE +03 8F F0 FF 93 0E 00 FA 93 01 80 00 63 18 DF 31 +97 10 00 00 93 80 70 F1 13 01 A0 00 23 80 20 00 +03 8F 00 00 93 0E A0 00 93 01 90 00 63 18 DF 2F +97 10 00 00 93 80 80 EF 37 51 34 12 13 01 81 67 +13 82 00 FE 23 00 22 02 83 82 00 00 93 0E 80 07 +93 01 A0 00 63 94 D2 2D 97 10 00 00 93 80 00 ED +37 31 00 00 13 01 81 09 93 80 A0 FF A3 83 20 00 +17 12 00 00 13 02 92 EB 83 02 02 00 93 0E 80 F9 +93 01 B0 00 63 9C D2 29 93 01 C0 00 13 02 00 00 +93 00 D0 FD 17 11 00 00 13 01 C1 E8 23 00 11 00 +03 0F 01 00 93 0E D0 FD 63 1A DF 27 13 02 12 00 +93 02 20 00 E3 1E 52 FC 93 01 D0 00 13 02 00 00 +93 00 D0 FC 17 11 00 00 13 01 C1 E5 13 00 00 00 +A3 00 11 00 03 0F 11 00 93 0E D0 FC 63 10 DF 25 +13 02 12 00 93 02 20 00 E3 1C 52 FC 93 01 E0 00 +13 02 00 00 93 00 C0 FC 17 11 00 00 13 01 81 E2 +13 00 00 00 13 00 00 00 23 01 11 00 03 0F 21 00 +93 0E C0 FC 63 14 DF 21 13 02 12 00 93 02 20 00 +E3 1A 52 FC 93 01 F0 00 13 02 00 00 93 00 C0 FB +13 00 00 00 17 11 00 00 13 01 C1 DE A3 01 11 00 +03 0F 31 00 93 0E C0 FB 63 1A DF 1D 13 02 12 00 +93 02 20 00 E3 1C 52 FC 93 01 00 01 13 02 00 00 +93 00 B0 FB 13 00 00 00 17 11 00 00 13 01 81 DB +13 00 00 00 23 02 11 00 03 0F 41 00 93 0E B0 FB +63 1E DF 19 13 02 12 00 93 02 20 00 E3 1A 52 FC +93 01 10 01 13 02 00 00 93 00 B0 FA 13 00 00 00 +13 00 00 00 17 11 00 00 13 01 C1 D7 A3 02 11 00 +03 0F 51 00 93 0E B0 FA 63 12 DF 17 13 02 12 00 +93 02 20 00 E3 1A 52 FC 93 01 20 01 13 02 00 00 +17 11 00 00 13 01 01 D5 93 00 30 03 23 00 11 00 +03 0F 01 00 93 0E 30 03 63 1A DF 13 13 02 12 00 +93 02 20 00 E3 1E 52 FC 93 01 30 01 13 02 00 00 +17 11 00 00 13 01 01 D2 93 00 30 02 13 00 00 00 +A3 00 11 00 03 0F 11 00 93 0E 30 02 63 10 DF 11 +13 02 12 00 93 02 20 00 E3 1C 52 FC 93 01 40 01 +13 02 00 00 17 11 00 00 13 01 C1 CE 93 00 20 02 +13 00 00 00 13 00 00 00 23 01 11 00 03 0F 21 00 +93 0E 20 02 63 14 DF 0D 13 02 12 00 93 02 20 00 +E3 1A 52 FC 93 01 50 01 13 02 00 00 17 11 00 00 +13 01 41 CB 13 00 00 00 93 00 20 01 A3 01 11 00 +03 0F 31 00 93 0E 20 01 63 1A DF 09 13 02 12 00 +93 02 20 00 E3 1C 52 FC 93 01 60 01 13 02 00 00 +17 11 00 00 13 01 01 C8 13 00 00 00 93 00 10 01 +13 00 00 00 23 02 11 00 03 0F 41 00 93 0E 10 01 +63 1E DF 05 13 02 12 00 93 02 20 00 E3 1A 52 FC +93 01 70 01 13 02 00 00 17 11 00 00 13 01 81 C4 +13 00 00 00 13 00 00 00 93 00 10 00 A3 02 11 00 +03 0F 51 00 93 0E 10 00 63 12 DF 03 13 02 12 00 +93 02 20 00 E3 1A 52 FC 13 05 F0 0E 97 15 00 00 +93 85 45 C1 A3 81 A5 00 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00001000 +EF EF EF EF EF EF EF EF EF EF 00 00 00 00 00 00 +@00001040 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-sh b/tests/isa/generated/rv32ui-p-sh old mode 100644 new mode 100755 index 20c2baf..51b2a99 Binary files a/tests/isa/generated/rv32ui-p-sh and b/tests/isa/generated/rv32ui-p-sh differ diff --git a/tests/isa/generated/rv32ui-p-sh.bin b/tests/isa/generated/rv32ui-p-sh.bin old mode 100644 new mode 100755 index d5dfeb6..1a4140c Binary files a/tests/isa/generated/rv32ui-p-sh.bin and b/tests/isa/generated/rv32ui-p-sh.bin differ diff --git a/tests/isa/generated/rv32ui-p-sh.dump b/tests/isa/generated/rv32ui-p-sh.dump index c2a8576..44f1f9a 100644 --- a/tests/isa/generated/rv32ui-p-sh.dump +++ b/tests/isa/generated/rv32ui-p-sh.dump @@ -339,15 +339,15 @@ Disassembly of section .text.init: 47c: 00301863 bne zero,gp,48c 00000480 : - 480: 00100d13 li s10,1 - 484: 00000d93 li s11,0 + 480: 00000d93 li s11,0 + 484: 00100d13 li s10,1 00000488 : 488: 0000006f j 488 0000048c : - 48c: 00100d13 li s10,1 - 490: 00100d93 li s11,1 + 48c: 00100d93 li s11,1 + 490: 00100d13 li s10,1 00000494 : 494: 0000006f j 494 @@ -393,3 +393,18 @@ Disassembly of section .tohost: 00001080 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-sh.mem b/tests/isa/generated/rv32ui-p-sh.mem new file mode 100644 index 0000000..49d66c6 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-sh.mem @@ -0,0 +1,1058 @@ +00000d13 +00000d93 +00001097 +ff808093 +0aa00113 +00209023 +00009f03 +0aa00e93 +00200193 +45df1e63 +00001097 +fd808093 +ffffb137 +a0010113 +00209123 +00209f03 +ffffbeb7 +a00e8e93 +00300193 +43df1a63 +00001097 +fb008093 +beef1137 +aa010113 +00209223 +0040af03 +beef1eb7 +aa0e8e93 +00400193 +41df1663 +00001097 +f8808093 +ffffa137 +00a10113 +00209323 +00609f03 +ffffaeb7 +00ae8e93 +00500193 +3fdf1263 +00001097 +f6e08093 +0aa00113 +fe209d23 +ffa09f03 +0aa00e93 +00600193 +3ddf1263 +00001097 +f4e08093 +ffffb137 +a0010113 +fe209e23 +ffc09f03 +ffffbeb7 +a00e8e93 +00700193 +39df1e63 +00001097 +f2608093 +00001137 +aa010113 +fe209f23 +ffe09f03 +00001eb7 +aa0e8e93 +00800193 +37df1a63 +00001097 +efe08093 +ffffa137 +00a10113 +00209023 +00009f03 +ffffaeb7 +00ae8e93 +00900193 +35df1663 +00001097 +ed808093 +12345137 +67810113 +fe008213 +02221023 +00009283 +00005eb7 +678e8e93 +00a00193 +33d29063 +00001097 +eac08093 +00003137 +09810113 +ffb08093 +002093a3 +00001217 +e9620213 +00021283 +00003eb7 +098e8e93 +00b00193 +2fd29663 +00c00193 +00000213 +ffffd0b7 +cdd08093 +00001117 +e5810113 +00111023 +00011f03 +ffffdeb7 +cdde8e93 +2ddf1063 +00120213 +00200293 +fc521ae3 +00d00193 +00000213 +ffffc0b7 +ccd08093 +00001117 +e2010113 +00000013 +00111123 +00211f03 +ffffceb7 +ccde8e93 +29df1263 +00120213 +00200293 +fc5218e3 +00e00193 +00000213 +ffffc0b7 +bcc08093 +00001117 +de410113 +00000013 +00000013 +00111223 +00411f03 +ffffceb7 +bcce8e93 +25df1263 +00120213 +00200293 +fc5216e3 +00f00193 +00000213 +ffffb0b7 +bbc08093 +00000013 +00001117 +da010113 +00111323 +00611f03 +ffffbeb7 +bbce8e93 +21df1463 +00120213 +00200293 +fc5218e3 +01000193 +00000213 +ffffb0b7 +abb08093 +00000013 +00001117 +d6410113 +00000013 +00111423 +00811f03 +ffffbeb7 +abbe8e93 +1ddf1463 +00120213 +00200293 +fc5216e3 +01100193 +00000213 +ffffe0b7 +aab08093 +00000013 +00000013 +00001117 +d2010113 +00111523 +00a11f03 +ffffeeb7 +aabe8e93 +19df1463 +00120213 +00200293 +fc5216e3 +01200193 +00000213 +00001117 +cf010113 +000020b7 +23308093 +00111023 +00011f03 +00002eb7 +233e8e93 +15df1863 +00120213 +00200293 +fc521ae3 +01300193 +00000213 +00001117 +cb810113 +000010b7 +22308093 +00000013 +00111123 +00211f03 +00001eb7 +223e8e93 +11df1a63 +00120213 +00200293 +fc5218e3 +01400193 +00000213 +00001117 +c7c10113 +000010b7 +12208093 +00000013 +00000013 +00111223 +00411f03 +00001eb7 +122e8e93 +0ddf1a63 +00120213 +00200293 +fc5216e3 +01500193 +00000213 +00001117 +c3c10113 +00000013 +11200093 +00111323 +00611f03 +11200e93 +0bdf1063 +00120213 +00200293 +fc521ce3 +01600193 +00000213 +00001117 +c0810113 +00000013 +01100093 +00000013 +00111423 +00811f03 +01100e93 +07df1463 +00120213 +00200293 +fc521ae3 +01700193 +00000213 +00001117 +bd010113 +00000013 +00000013 +000030b7 +00108093 +00111523 +00a11f03 +00003eb7 +001e8e93 +03df1463 +00120213 +00200293 +fc5216e3 +0000c537 +eef50513 +00001597 +b9058593 +00a59323 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +beefbeef +beefbeef +beefbeef +beefbeef +beefbeef +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-sh.verilog b/tests/isa/generated/rv32ui-p-sh.verilog old mode 100644 new mode 100755 index 8a3fba2..6438be0 --- a/tests/isa/generated/rv32ui-p-sh.verilog +++ b/tests/isa/generated/rv32ui-p-sh.verilog @@ -1,87 +1,87 @@ -@00000000 -13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF -13 01 A0 0A 23 90 20 00 03 9F 00 00 93 0E A0 0A -93 01 20 00 63 1E DF 45 97 10 00 00 93 80 80 FD -37 B1 FF FF 13 01 01 A0 23 91 20 00 03 9F 20 00 -B7 BE FF FF 93 8E 0E A0 93 01 30 00 63 1A DF 43 -97 10 00 00 93 80 00 FB 37 11 EF BE 13 01 01 AA -23 92 20 00 03 AF 40 00 B7 1E EF BE 93 8E 0E AA -93 01 40 00 63 16 DF 41 97 10 00 00 93 80 80 F8 -37 A1 FF FF 13 01 A1 00 23 93 20 00 03 9F 60 00 -B7 AE FF FF 93 8E AE 00 93 01 50 00 63 12 DF 3F -97 10 00 00 93 80 E0 F6 13 01 A0 0A 23 9D 20 FE -03 9F A0 FF 93 0E A0 0A 93 01 60 00 63 12 DF 3D -97 10 00 00 93 80 E0 F4 37 B1 FF FF 13 01 01 A0 -23 9E 20 FE 03 9F C0 FF B7 BE FF FF 93 8E 0E A0 -93 01 70 00 63 1E DF 39 97 10 00 00 93 80 60 F2 -37 11 00 00 13 01 01 AA 23 9F 20 FE 03 9F E0 FF -B7 1E 00 00 93 8E 0E AA 93 01 80 00 63 1A DF 37 -97 10 00 00 93 80 E0 EF 37 A1 FF FF 13 01 A1 00 -23 90 20 00 03 9F 00 00 B7 AE FF FF 93 8E AE 00 -93 01 90 00 63 16 DF 35 97 10 00 00 93 80 80 ED -37 51 34 12 13 01 81 67 13 82 00 FE 23 10 22 02 -83 92 00 00 B7 5E 00 00 93 8E 8E 67 93 01 A0 00 -63 90 D2 33 97 10 00 00 93 80 C0 EA 37 31 00 00 -13 01 81 09 93 80 B0 FF A3 93 20 00 17 12 00 00 -13 02 62 E9 83 12 02 00 B7 3E 00 00 93 8E 8E 09 -93 01 B0 00 63 96 D2 2F 93 01 C0 00 13 02 00 00 -B7 D0 FF FF 93 80 D0 CD 17 11 00 00 13 01 81 E5 -23 10 11 00 03 1F 01 00 B7 DE FF FF 93 8E DE CD -63 10 DF 2D 13 02 12 00 93 02 20 00 E3 1A 52 FC -93 01 D0 00 13 02 00 00 B7 C0 FF FF 93 80 D0 CC -17 11 00 00 13 01 01 E2 13 00 00 00 23 11 11 00 -03 1F 21 00 B7 CE FF FF 93 8E DE CC 63 12 DF 29 -13 02 12 00 93 02 20 00 E3 18 52 FC 93 01 E0 00 -13 02 00 00 B7 C0 FF FF 93 80 C0 BC 17 11 00 00 -13 01 41 DE 13 00 00 00 13 00 00 00 23 12 11 00 -03 1F 41 00 B7 CE FF FF 93 8E CE BC 63 12 DF 25 -13 02 12 00 93 02 20 00 E3 16 52 FC 93 01 F0 00 -13 02 00 00 B7 B0 FF FF 93 80 C0 BB 13 00 00 00 -17 11 00 00 13 01 01 DA 23 13 11 00 03 1F 61 00 -B7 BE FF FF 93 8E CE BB 63 14 DF 21 13 02 12 00 -93 02 20 00 E3 18 52 FC 93 01 00 01 13 02 00 00 -B7 B0 FF FF 93 80 B0 AB 13 00 00 00 17 11 00 00 -13 01 41 D6 13 00 00 00 23 14 11 00 03 1F 81 00 -B7 BE FF FF 93 8E BE AB 63 14 DF 1D 13 02 12 00 -93 02 20 00 E3 16 52 FC 93 01 10 01 13 02 00 00 -B7 E0 FF FF 93 80 B0 AA 13 00 00 00 13 00 00 00 -17 11 00 00 13 01 01 D2 23 15 11 00 03 1F A1 00 -B7 EE FF FF 93 8E BE AA 63 14 DF 19 13 02 12 00 -93 02 20 00 E3 16 52 FC 93 01 20 01 13 02 00 00 -17 11 00 00 13 01 01 CF B7 20 00 00 93 80 30 23 -23 10 11 00 03 1F 01 00 B7 2E 00 00 93 8E 3E 23 -63 18 DF 15 13 02 12 00 93 02 20 00 E3 1A 52 FC -93 01 30 01 13 02 00 00 17 11 00 00 13 01 81 CB -B7 10 00 00 93 80 30 22 13 00 00 00 23 11 11 00 -03 1F 21 00 B7 1E 00 00 93 8E 3E 22 63 1A DF 11 -13 02 12 00 93 02 20 00 E3 18 52 FC 93 01 40 01 -13 02 00 00 17 11 00 00 13 01 C1 C7 B7 10 00 00 -93 80 20 12 13 00 00 00 13 00 00 00 23 12 11 00 -03 1F 41 00 B7 1E 00 00 93 8E 2E 12 63 1A DF 0D -13 02 12 00 93 02 20 00 E3 16 52 FC 93 01 50 01 -13 02 00 00 17 11 00 00 13 01 C1 C3 13 00 00 00 -93 00 20 11 23 13 11 00 03 1F 61 00 93 0E 20 11 -63 10 DF 0B 13 02 12 00 93 02 20 00 E3 1C 52 FC -93 01 60 01 13 02 00 00 17 11 00 00 13 01 81 C0 -13 00 00 00 93 00 10 01 13 00 00 00 23 14 11 00 -03 1F 81 00 93 0E 10 01 63 14 DF 07 13 02 12 00 -93 02 20 00 E3 1A 52 FC 93 01 70 01 13 02 00 00 -17 11 00 00 13 01 01 BD 13 00 00 00 13 00 00 00 -B7 30 00 00 93 80 10 00 23 15 11 00 03 1F A1 00 -B7 3E 00 00 93 8E 1E 00 63 14 DF 03 13 02 12 00 -93 02 20 00 E3 16 52 FC 37 C5 00 00 13 05 F5 EE -97 15 00 00 93 85 05 B9 23 93 A5 00 63 18 30 00 -13 0D 10 00 93 0D 00 00 6F 00 00 00 13 0D 10 00 -93 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00001000 -EF BE EF BE EF BE EF BE EF BE EF BE EF BE EF BE -EF BE EF BE 00 00 00 00 00 00 00 00 00 00 00 00 -@00001040 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF +13 01 A0 0A 23 90 20 00 03 9F 00 00 93 0E A0 0A +93 01 20 00 63 1E DF 45 97 10 00 00 93 80 80 FD +37 B1 FF FF 13 01 01 A0 23 91 20 00 03 9F 20 00 +B7 BE FF FF 93 8E 0E A0 93 01 30 00 63 1A DF 43 +97 10 00 00 93 80 00 FB 37 11 EF BE 13 01 01 AA +23 92 20 00 03 AF 40 00 B7 1E EF BE 93 8E 0E AA +93 01 40 00 63 16 DF 41 97 10 00 00 93 80 80 F8 +37 A1 FF FF 13 01 A1 00 23 93 20 00 03 9F 60 00 +B7 AE FF FF 93 8E AE 00 93 01 50 00 63 12 DF 3F +97 10 00 00 93 80 E0 F6 13 01 A0 0A 23 9D 20 FE +03 9F A0 FF 93 0E A0 0A 93 01 60 00 63 12 DF 3D +97 10 00 00 93 80 E0 F4 37 B1 FF FF 13 01 01 A0 +23 9E 20 FE 03 9F C0 FF B7 BE FF FF 93 8E 0E A0 +93 01 70 00 63 1E DF 39 97 10 00 00 93 80 60 F2 +37 11 00 00 13 01 01 AA 23 9F 20 FE 03 9F E0 FF +B7 1E 00 00 93 8E 0E AA 93 01 80 00 63 1A DF 37 +97 10 00 00 93 80 E0 EF 37 A1 FF FF 13 01 A1 00 +23 90 20 00 03 9F 00 00 B7 AE FF FF 93 8E AE 00 +93 01 90 00 63 16 DF 35 97 10 00 00 93 80 80 ED +37 51 34 12 13 01 81 67 13 82 00 FE 23 10 22 02 +83 92 00 00 B7 5E 00 00 93 8E 8E 67 93 01 A0 00 +63 90 D2 33 97 10 00 00 93 80 C0 EA 37 31 00 00 +13 01 81 09 93 80 B0 FF A3 93 20 00 17 12 00 00 +13 02 62 E9 83 12 02 00 B7 3E 00 00 93 8E 8E 09 +93 01 B0 00 63 96 D2 2F 93 01 C0 00 13 02 00 00 +B7 D0 FF FF 93 80 D0 CD 17 11 00 00 13 01 81 E5 +23 10 11 00 03 1F 01 00 B7 DE FF FF 93 8E DE CD +63 10 DF 2D 13 02 12 00 93 02 20 00 E3 1A 52 FC +93 01 D0 00 13 02 00 00 B7 C0 FF FF 93 80 D0 CC +17 11 00 00 13 01 01 E2 13 00 00 00 23 11 11 00 +03 1F 21 00 B7 CE FF FF 93 8E DE CC 63 12 DF 29 +13 02 12 00 93 02 20 00 E3 18 52 FC 93 01 E0 00 +13 02 00 00 B7 C0 FF FF 93 80 C0 BC 17 11 00 00 +13 01 41 DE 13 00 00 00 13 00 00 00 23 12 11 00 +03 1F 41 00 B7 CE FF FF 93 8E CE BC 63 12 DF 25 +13 02 12 00 93 02 20 00 E3 16 52 FC 93 01 F0 00 +13 02 00 00 B7 B0 FF FF 93 80 C0 BB 13 00 00 00 +17 11 00 00 13 01 01 DA 23 13 11 00 03 1F 61 00 +B7 BE FF FF 93 8E CE BB 63 14 DF 21 13 02 12 00 +93 02 20 00 E3 18 52 FC 93 01 00 01 13 02 00 00 +B7 B0 FF FF 93 80 B0 AB 13 00 00 00 17 11 00 00 +13 01 41 D6 13 00 00 00 23 14 11 00 03 1F 81 00 +B7 BE FF FF 93 8E BE AB 63 14 DF 1D 13 02 12 00 +93 02 20 00 E3 16 52 FC 93 01 10 01 13 02 00 00 +B7 E0 FF FF 93 80 B0 AA 13 00 00 00 13 00 00 00 +17 11 00 00 13 01 01 D2 23 15 11 00 03 1F A1 00 +B7 EE FF FF 93 8E BE AA 63 14 DF 19 13 02 12 00 +93 02 20 00 E3 16 52 FC 93 01 20 01 13 02 00 00 +17 11 00 00 13 01 01 CF B7 20 00 00 93 80 30 23 +23 10 11 00 03 1F 01 00 B7 2E 00 00 93 8E 3E 23 +63 18 DF 15 13 02 12 00 93 02 20 00 E3 1A 52 FC +93 01 30 01 13 02 00 00 17 11 00 00 13 01 81 CB +B7 10 00 00 93 80 30 22 13 00 00 00 23 11 11 00 +03 1F 21 00 B7 1E 00 00 93 8E 3E 22 63 1A DF 11 +13 02 12 00 93 02 20 00 E3 18 52 FC 93 01 40 01 +13 02 00 00 17 11 00 00 13 01 C1 C7 B7 10 00 00 +93 80 20 12 13 00 00 00 13 00 00 00 23 12 11 00 +03 1F 41 00 B7 1E 00 00 93 8E 2E 12 63 1A DF 0D +13 02 12 00 93 02 20 00 E3 16 52 FC 93 01 50 01 +13 02 00 00 17 11 00 00 13 01 C1 C3 13 00 00 00 +93 00 20 11 23 13 11 00 03 1F 61 00 93 0E 20 11 +63 10 DF 0B 13 02 12 00 93 02 20 00 E3 1C 52 FC +93 01 60 01 13 02 00 00 17 11 00 00 13 01 81 C0 +13 00 00 00 93 00 10 01 13 00 00 00 23 14 11 00 +03 1F 81 00 93 0E 10 01 63 14 DF 07 13 02 12 00 +93 02 20 00 E3 1A 52 FC 93 01 70 01 13 02 00 00 +17 11 00 00 13 01 01 BD 13 00 00 00 13 00 00 00 +B7 30 00 00 93 80 10 00 23 15 11 00 03 1F A1 00 +B7 3E 00 00 93 8E 1E 00 63 14 DF 03 13 02 12 00 +93 02 20 00 E3 16 52 FC 37 C5 00 00 13 05 F5 EE +97 15 00 00 93 85 05 B9 23 93 A5 00 63 18 30 00 +93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 +13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00001000 +EF BE EF BE EF BE EF BE EF BE EF BE EF BE EF BE +EF BE EF BE 00 00 00 00 00 00 00 00 00 00 00 00 +@00001040 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-simple b/tests/isa/generated/rv32ui-p-simple old mode 100644 new mode 100755 index 23941b7..4ee9bbe Binary files a/tests/isa/generated/rv32ui-p-simple and b/tests/isa/generated/rv32ui-p-simple differ diff --git a/tests/isa/generated/rv32ui-p-simple.bin b/tests/isa/generated/rv32ui-p-simple.bin old mode 100644 new mode 100755 index f7f7ec8..aaca87d Binary files a/tests/isa/generated/rv32ui-p-simple.bin and b/tests/isa/generated/rv32ui-p-simple.bin differ diff --git a/tests/isa/generated/rv32ui-p-simple.dump b/tests/isa/generated/rv32ui-p-simple.dump index 019a84c..3a09f8d 100644 --- a/tests/isa/generated/rv32ui-p-simple.dump +++ b/tests/isa/generated/rv32ui-p-simple.dump @@ -7,8 +7,8 @@ Disassembly of section .text.init: 00000000 <_start>: 0: 00000d13 li s10,0 4: 00000d93 li s11,0 - 8: 00100d13 li s10,1 - c: 00100d93 li s11,1 + 8: 00100d93 li s11,1 + c: 00100d13 li s10,1 00000010 : 10: 0000006f j 10 @@ -21,3 +21,18 @@ Disassembly of section .tohost: 000000c0 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-simple.mem b/tests/isa/generated/rv32ui-p-simple.mem new file mode 100644 index 0000000..b4f9401 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-simple.mem @@ -0,0 +1,50 @@ +00000d13 +00000d93 +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-simple.verilog b/tests/isa/generated/rv32ui-p-simple.verilog old mode 100644 new mode 100755 index 3df5df1..0b3b3d7 --- a/tests/isa/generated/rv32ui-p-simple.verilog +++ b/tests/isa/generated/rv32ui-p-simple.verilog @@ -1,12 +1,12 @@ -@00000000 -13 0D 00 00 93 0D 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000080 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000080 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-sll b/tests/isa/generated/rv32ui-p-sll old mode 100644 new mode 100755 index cb620fd..6811598 Binary files a/tests/isa/generated/rv32ui-p-sll and b/tests/isa/generated/rv32ui-p-sll differ diff --git a/tests/isa/generated/rv32ui-p-sll.bin b/tests/isa/generated/rv32ui-p-sll.bin old mode 100644 new mode 100755 index 5a896b0..f416129 Binary files a/tests/isa/generated/rv32ui-p-sll.bin and b/tests/isa/generated/rv32ui-p-sll.bin differ diff --git a/tests/isa/generated/rv32ui-p-sll.dump b/tests/isa/generated/rv32ui-p-sll.dump index 0f57c51..7f736c4 100644 --- a/tests/isa/generated/rv32ui-p-sll.dump +++ b/tests/isa/generated/rv32ui-p-sll.dump @@ -431,15 +431,15 @@ Disassembly of section .text.init: 554: 00301863 bne zero,gp,564 00000558 : - 558: 00100d13 li s10,1 - 55c: 00000d93 li s11,0 + 558: 00000d93 li s11,0 + 55c: 00100d13 li s10,1 00000560 : 560: 0000006f j 560 00000564 : - 564: 00100d13 li s10,1 - 568: 00100d93 li s11,1 + 564: 00100d93 li s11,1 + 568: 00100d13 li s10,1 0000056c : 56c: 0000006f j 56c @@ -452,3 +452,18 @@ Disassembly of section .tohost: 00000600 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-sll.mem b/tests/isa/generated/rv32ui-p-sll.mem new file mode 100644 index 0000000..30e2a6a --- /dev/null +++ b/tests/isa/generated/rv32ui-p-sll.mem @@ -0,0 +1,386 @@ +00000d13 +00000d93 +00100093 +00000113 +00209f33 +00100e93 +00200193 +53df1e63 +00100093 +00100113 +00209f33 +00200e93 +00300193 +53df1263 +00100093 +00700113 +00209f33 +08000e93 +00400193 +51df1663 +00100093 +00e00113 +00209f33 +00004eb7 +00500193 +4fdf1a63 +00100093 +01f00113 +00209f33 +80000eb7 +00600193 +4ddf1e63 +fff00093 +00000113 +00209f33 +fff00e93 +00700193 +4ddf1263 +fff00093 +00100113 +00209f33 +ffe00e93 +00800193 +4bdf1663 +fff00093 +00700113 +00209f33 +f8000e93 +00900193 +49df1a63 +fff00093 +00e00113 +00209f33 +ffffceb7 +00a00193 +47df1e63 +fff00093 +01f00113 +00209f33 +80000eb7 +00b00193 +47df1263 +212120b7 +12108093 +00000113 +00209f33 +21212eb7 +121e8e93 +00c00193 +45df1263 +212120b7 +12108093 +00100113 +00209f33 +42424eb7 +242e8e93 +00d00193 +43df1263 +212120b7 +12108093 +00700113 +00209f33 +90909eb7 +080e8e93 +00e00193 +41df1263 +212120b7 +12108093 +00e00113 +00209f33 +48484eb7 +00f00193 +3fdf1463 +212120b7 +12108093 +01f00113 +00209f33 +80000eb7 +01000193 +3ddf1663 +212120b7 +12108093 +fc000113 +00209f33 +21212eb7 +121e8e93 +01100193 +3bdf1663 +212120b7 +12108093 +fc100113 +00209f33 +42424eb7 +242e8e93 +01200193 +39df1663 +212120b7 +12108093 +fc700113 +00209f33 +90909eb7 +080e8e93 +01300193 +37df1663 +212120b7 +12108093 +fce00113 +00209f33 +48484eb7 +01400193 +35df1863 +00100093 +00700113 +002090b3 +08000e93 +01600193 +33d09c63 +00100093 +00e00113 +00209133 +00004eb7 +01700193 +33d11063 +00300093 +001090b3 +01800e93 +01800193 +31d09663 +00000213 +00100093 +00700113 +00209f33 +000f0313 +00120213 +00200293 +fe5214e3 +08000e93 +01900193 +2fd31063 +00000213 +00100093 +00e00113 +00209f33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00004eb7 +01a00193 +2bd31863 +00000213 +00100093 +01f00113 +00209f33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +80000eb7 +01b00193 +27d31e63 +00000213 +00100093 +00700113 +00209f33 +00120213 +00200293 +fe5216e3 +08000e93 +01c00193 +25df1a63 +00000213 +00100093 +00e00113 +00000013 +00209f33 +00120213 +00200293 +fe5214e3 +00004eb7 +01d00193 +23df1463 +00000213 +00100093 +01f00113 +00000013 +00000013 +00209f33 +00120213 +00200293 +fe5212e3 +80000eb7 +01e00193 +1fdf1c63 +00000213 +00100093 +00000013 +00700113 +00209f33 +00120213 +00200293 +fe5214e3 +08000e93 +01f00193 +1ddf1663 +00000213 +00100093 +00000013 +00e00113 +00000013 +00209f33 +00120213 +00200293 +fe5212e3 +00004eb7 +02000193 +19df1e63 +00000213 +00100093 +00000013 +00000013 +01f00113 +00209f33 +00120213 +00200293 +fe5212e3 +80000eb7 +02100193 +17df1663 +00000213 +00700113 +00100093 +00209f33 +00120213 +00200293 +fe5216e3 +08000e93 +02200193 +15df1263 +00000213 +00e00113 +00100093 +00000013 +00209f33 +00120213 +00200293 +fe5214e3 +00004eb7 +02300193 +11df1c63 +00000213 +01f00113 +00100093 +00000013 +00000013 +00209f33 +00120213 +00200293 +fe5212e3 +80000eb7 +02400193 +0fdf1463 +00000213 +00700113 +00000013 +00100093 +00209f33 +00120213 +00200293 +fe5214e3 +08000e93 +02500193 +0bdf1e63 +00000213 +00e00113 +00000013 +00100093 +00000013 +00209f33 +00120213 +00200293 +fe5212e3 +00004eb7 +02600193 +09df1663 +00000213 +01f00113 +00000013 +00000013 +00100093 +00209f33 +00120213 +00200293 +fe5212e3 +80000eb7 +02700193 +05df1e63 +00f00093 +00101133 +00000e93 +02800193 +05d11463 +02000093 +00009133 +02000e93 +02900193 +03d11a63 +000010b3 +00000e93 +02a00193 +03d09263 +40000093 +00001137 +80010113 +00209033 +00000e93 +02b00193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-sll.verilog b/tests/isa/generated/rv32ui-p-sll.verilog old mode 100644 new mode 100755 index a0cb17c..e703a05 --- a/tests/isa/generated/rv32ui-p-sll.verilog +++ b/tests/isa/generated/rv32ui-p-sll.verilog @@ -1,96 +1,96 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 10 00 13 01 00 00 -33 9F 20 00 93 0E 10 00 93 01 20 00 63 1E DF 53 -93 00 10 00 13 01 10 00 33 9F 20 00 93 0E 20 00 -93 01 30 00 63 12 DF 53 93 00 10 00 13 01 70 00 -33 9F 20 00 93 0E 00 08 93 01 40 00 63 16 DF 51 -93 00 10 00 13 01 E0 00 33 9F 20 00 B7 4E 00 00 -93 01 50 00 63 1A DF 4F 93 00 10 00 13 01 F0 01 -33 9F 20 00 B7 0E 00 80 93 01 60 00 63 1E DF 4D -93 00 F0 FF 13 01 00 00 33 9F 20 00 93 0E F0 FF -93 01 70 00 63 12 DF 4D 93 00 F0 FF 13 01 10 00 -33 9F 20 00 93 0E E0 FF 93 01 80 00 63 16 DF 4B -93 00 F0 FF 13 01 70 00 33 9F 20 00 93 0E 00 F8 -93 01 90 00 63 1A DF 49 93 00 F0 FF 13 01 E0 00 -33 9F 20 00 B7 CE FF FF 93 01 A0 00 63 1E DF 47 -93 00 F0 FF 13 01 F0 01 33 9F 20 00 B7 0E 00 80 -93 01 B0 00 63 12 DF 47 B7 20 21 21 93 80 10 12 -13 01 00 00 33 9F 20 00 B7 2E 21 21 93 8E 1E 12 -93 01 C0 00 63 12 DF 45 B7 20 21 21 93 80 10 12 -13 01 10 00 33 9F 20 00 B7 4E 42 42 93 8E 2E 24 -93 01 D0 00 63 12 DF 43 B7 20 21 21 93 80 10 12 -13 01 70 00 33 9F 20 00 B7 9E 90 90 93 8E 0E 08 -93 01 E0 00 63 12 DF 41 B7 20 21 21 93 80 10 12 -13 01 E0 00 33 9F 20 00 B7 4E 48 48 93 01 F0 00 -63 14 DF 3F B7 20 21 21 93 80 10 12 13 01 F0 01 -33 9F 20 00 B7 0E 00 80 93 01 00 01 63 16 DF 3D -B7 20 21 21 93 80 10 12 13 01 00 FC 33 9F 20 00 -B7 2E 21 21 93 8E 1E 12 93 01 10 01 63 16 DF 3B -B7 20 21 21 93 80 10 12 13 01 10 FC 33 9F 20 00 -B7 4E 42 42 93 8E 2E 24 93 01 20 01 63 16 DF 39 -B7 20 21 21 93 80 10 12 13 01 70 FC 33 9F 20 00 -B7 9E 90 90 93 8E 0E 08 93 01 30 01 63 16 DF 37 -B7 20 21 21 93 80 10 12 13 01 E0 FC 33 9F 20 00 -B7 4E 48 48 93 01 40 01 63 18 DF 35 93 00 10 00 -13 01 70 00 B3 90 20 00 93 0E 00 08 93 01 60 01 -63 9C D0 33 93 00 10 00 13 01 E0 00 33 91 20 00 -B7 4E 00 00 93 01 70 01 63 10 D1 33 93 00 30 00 -B3 90 10 00 93 0E 80 01 93 01 80 01 63 96 D0 31 -13 02 00 00 93 00 10 00 13 01 70 00 33 9F 20 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE -93 0E 00 08 93 01 90 01 63 10 D3 2F 13 02 00 00 -93 00 10 00 13 01 E0 00 33 9F 20 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 4E 00 00 93 01 A0 01 63 18 D3 2B 13 02 00 00 -93 00 10 00 13 01 F0 01 33 9F 20 00 13 00 00 00 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 10 52 FE B7 0E 00 80 93 01 B0 01 63 1E D3 27 -13 02 00 00 93 00 10 00 13 01 70 00 33 9F 20 00 -13 02 12 00 93 02 20 00 E3 16 52 FE 93 0E 00 08 -93 01 C0 01 63 1A DF 25 13 02 00 00 93 00 10 00 -13 01 E0 00 13 00 00 00 33 9F 20 00 13 02 12 00 -93 02 20 00 E3 14 52 FE B7 4E 00 00 93 01 D0 01 -63 14 DF 23 13 02 00 00 93 00 10 00 13 01 F0 01 -13 00 00 00 13 00 00 00 33 9F 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 0E 00 80 93 01 E0 01 -63 1C DF 1F 13 02 00 00 93 00 10 00 13 00 00 00 -13 01 70 00 33 9F 20 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 00 08 93 01 F0 01 63 16 DF 1D -13 02 00 00 93 00 10 00 13 00 00 00 13 01 E0 00 -13 00 00 00 33 9F 20 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 4E 00 00 93 01 00 02 63 1E DF 19 -13 02 00 00 93 00 10 00 13 00 00 00 13 00 00 00 -13 01 F0 01 33 9F 20 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 0E 00 80 93 01 10 02 63 16 DF 17 -13 02 00 00 13 01 70 00 93 00 10 00 33 9F 20 00 -13 02 12 00 93 02 20 00 E3 16 52 FE 93 0E 00 08 -93 01 20 02 63 12 DF 15 13 02 00 00 13 01 E0 00 -93 00 10 00 13 00 00 00 33 9F 20 00 13 02 12 00 -93 02 20 00 E3 14 52 FE B7 4E 00 00 93 01 30 02 -63 1C DF 11 13 02 00 00 13 01 F0 01 93 00 10 00 -13 00 00 00 13 00 00 00 33 9F 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 0E 00 80 93 01 40 02 -63 14 DF 0F 13 02 00 00 13 01 70 00 13 00 00 00 -93 00 10 00 33 9F 20 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 00 08 93 01 50 02 63 1E DF 0B -13 02 00 00 13 01 E0 00 13 00 00 00 93 00 10 00 -13 00 00 00 33 9F 20 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 4E 00 00 93 01 60 02 63 16 DF 09 -13 02 00 00 13 01 F0 01 13 00 00 00 13 00 00 00 -93 00 10 00 33 9F 20 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 0E 00 80 93 01 70 02 63 1E DF 05 -93 00 F0 00 33 11 10 00 93 0E 00 00 93 01 80 02 -63 14 D1 05 93 00 00 02 33 91 00 00 93 0E 00 02 -93 01 90 02 63 1A D1 03 B3 10 00 00 93 0E 00 00 -93 01 A0 02 63 92 D0 03 93 00 00 40 37 11 00 00 -13 01 01 80 33 90 20 00 93 0E 00 00 93 01 B0 02 -63 14 D0 01 63 18 30 00 13 0D 10 00 93 0D 00 00 -6F 00 00 00 13 0D 10 00 93 0D 10 00 6F 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@000005C0 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 10 00 13 01 00 00 +33 9F 20 00 93 0E 10 00 93 01 20 00 63 1E DF 53 +93 00 10 00 13 01 10 00 33 9F 20 00 93 0E 20 00 +93 01 30 00 63 12 DF 53 93 00 10 00 13 01 70 00 +33 9F 20 00 93 0E 00 08 93 01 40 00 63 16 DF 51 +93 00 10 00 13 01 E0 00 33 9F 20 00 B7 4E 00 00 +93 01 50 00 63 1A DF 4F 93 00 10 00 13 01 F0 01 +33 9F 20 00 B7 0E 00 80 93 01 60 00 63 1E DF 4D +93 00 F0 FF 13 01 00 00 33 9F 20 00 93 0E F0 FF +93 01 70 00 63 12 DF 4D 93 00 F0 FF 13 01 10 00 +33 9F 20 00 93 0E E0 FF 93 01 80 00 63 16 DF 4B +93 00 F0 FF 13 01 70 00 33 9F 20 00 93 0E 00 F8 +93 01 90 00 63 1A DF 49 93 00 F0 FF 13 01 E0 00 +33 9F 20 00 B7 CE FF FF 93 01 A0 00 63 1E DF 47 +93 00 F0 FF 13 01 F0 01 33 9F 20 00 B7 0E 00 80 +93 01 B0 00 63 12 DF 47 B7 20 21 21 93 80 10 12 +13 01 00 00 33 9F 20 00 B7 2E 21 21 93 8E 1E 12 +93 01 C0 00 63 12 DF 45 B7 20 21 21 93 80 10 12 +13 01 10 00 33 9F 20 00 B7 4E 42 42 93 8E 2E 24 +93 01 D0 00 63 12 DF 43 B7 20 21 21 93 80 10 12 +13 01 70 00 33 9F 20 00 B7 9E 90 90 93 8E 0E 08 +93 01 E0 00 63 12 DF 41 B7 20 21 21 93 80 10 12 +13 01 E0 00 33 9F 20 00 B7 4E 48 48 93 01 F0 00 +63 14 DF 3F B7 20 21 21 93 80 10 12 13 01 F0 01 +33 9F 20 00 B7 0E 00 80 93 01 00 01 63 16 DF 3D +B7 20 21 21 93 80 10 12 13 01 00 FC 33 9F 20 00 +B7 2E 21 21 93 8E 1E 12 93 01 10 01 63 16 DF 3B +B7 20 21 21 93 80 10 12 13 01 10 FC 33 9F 20 00 +B7 4E 42 42 93 8E 2E 24 93 01 20 01 63 16 DF 39 +B7 20 21 21 93 80 10 12 13 01 70 FC 33 9F 20 00 +B7 9E 90 90 93 8E 0E 08 93 01 30 01 63 16 DF 37 +B7 20 21 21 93 80 10 12 13 01 E0 FC 33 9F 20 00 +B7 4E 48 48 93 01 40 01 63 18 DF 35 93 00 10 00 +13 01 70 00 B3 90 20 00 93 0E 00 08 93 01 60 01 +63 9C D0 33 93 00 10 00 13 01 E0 00 33 91 20 00 +B7 4E 00 00 93 01 70 01 63 10 D1 33 93 00 30 00 +B3 90 10 00 93 0E 80 01 93 01 80 01 63 96 D0 31 +13 02 00 00 93 00 10 00 13 01 70 00 33 9F 20 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE +93 0E 00 08 93 01 90 01 63 10 D3 2F 13 02 00 00 +93 00 10 00 13 01 E0 00 33 9F 20 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 4E 00 00 93 01 A0 01 63 18 D3 2B 13 02 00 00 +93 00 10 00 13 01 F0 01 33 9F 20 00 13 00 00 00 +13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 10 52 FE B7 0E 00 80 93 01 B0 01 63 1E D3 27 +13 02 00 00 93 00 10 00 13 01 70 00 33 9F 20 00 +13 02 12 00 93 02 20 00 E3 16 52 FE 93 0E 00 08 +93 01 C0 01 63 1A DF 25 13 02 00 00 93 00 10 00 +13 01 E0 00 13 00 00 00 33 9F 20 00 13 02 12 00 +93 02 20 00 E3 14 52 FE B7 4E 00 00 93 01 D0 01 +63 14 DF 23 13 02 00 00 93 00 10 00 13 01 F0 01 +13 00 00 00 13 00 00 00 33 9F 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 0E 00 80 93 01 E0 01 +63 1C DF 1F 13 02 00 00 93 00 10 00 13 00 00 00 +13 01 70 00 33 9F 20 00 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 00 08 93 01 F0 01 63 16 DF 1D +13 02 00 00 93 00 10 00 13 00 00 00 13 01 E0 00 +13 00 00 00 33 9F 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 4E 00 00 93 01 00 02 63 1E DF 19 +13 02 00 00 93 00 10 00 13 00 00 00 13 00 00 00 +13 01 F0 01 33 9F 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 0E 00 80 93 01 10 02 63 16 DF 17 +13 02 00 00 13 01 70 00 93 00 10 00 33 9F 20 00 +13 02 12 00 93 02 20 00 E3 16 52 FE 93 0E 00 08 +93 01 20 02 63 12 DF 15 13 02 00 00 13 01 E0 00 +93 00 10 00 13 00 00 00 33 9F 20 00 13 02 12 00 +93 02 20 00 E3 14 52 FE B7 4E 00 00 93 01 30 02 +63 1C DF 11 13 02 00 00 13 01 F0 01 93 00 10 00 +13 00 00 00 13 00 00 00 33 9F 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 0E 00 80 93 01 40 02 +63 14 DF 0F 13 02 00 00 13 01 70 00 13 00 00 00 +93 00 10 00 33 9F 20 00 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 00 08 93 01 50 02 63 1E DF 0B +13 02 00 00 13 01 E0 00 13 00 00 00 93 00 10 00 +13 00 00 00 33 9F 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 4E 00 00 93 01 60 02 63 16 DF 09 +13 02 00 00 13 01 F0 01 13 00 00 00 13 00 00 00 +93 00 10 00 33 9F 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 0E 00 80 93 01 70 02 63 1E DF 05 +93 00 F0 00 33 11 10 00 93 0E 00 00 93 01 80 02 +63 14 D1 05 93 00 00 02 33 91 00 00 93 0E 00 02 +93 01 90 02 63 1A D1 03 B3 10 00 00 93 0E 00 00 +93 01 A0 02 63 92 D0 03 93 00 00 40 37 11 00 00 +13 01 01 80 33 90 20 00 93 0E 00 00 93 01 B0 02 +63 14 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 +6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@000005C0 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-slli b/tests/isa/generated/rv32ui-p-slli old mode 100644 new mode 100755 index 01a3f9f..04a05be Binary files a/tests/isa/generated/rv32ui-p-slli and b/tests/isa/generated/rv32ui-p-slli differ diff --git a/tests/isa/generated/rv32ui-p-slli.bin b/tests/isa/generated/rv32ui-p-slli.bin old mode 100644 new mode 100755 index 491ab4c..c647c15 Binary files a/tests/isa/generated/rv32ui-p-slli.bin and b/tests/isa/generated/rv32ui-p-slli.bin differ diff --git a/tests/isa/generated/rv32ui-p-slli.dump b/tests/isa/generated/rv32ui-p-slli.dump index a113443..7b75214 100644 --- a/tests/isa/generated/rv32ui-p-slli.dump +++ b/tests/isa/generated/rv32ui-p-slli.dump @@ -218,15 +218,15 @@ Disassembly of section .text.init: 288: 00301863 bne zero,gp,298 0000028c : - 28c: 00100d13 li s10,1 - 290: 00000d93 li s11,0 + 28c: 00000d93 li s11,0 + 290: 00100d13 li s10,1 00000294 : 294: 0000006f j 294 00000298 : - 298: 00100d13 li s10,1 - 29c: 00100d93 li s11,1 + 298: 00100d93 li s11,1 + 29c: 00100d13 li s10,1 000002a0 : 2a0: 0000006f j 2a0 @@ -239,3 +239,18 @@ Disassembly of section .tohost: 00000340 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-slli.mem b/tests/isa/generated/rv32ui-p-slli.mem new file mode 100644 index 0000000..65c65a9 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-slli.mem @@ -0,0 +1,210 @@ +00000d13 +00000d93 +00100093 +00009f13 +00100e93 +00200193 +27df1a63 +00100093 +00109f13 +00200e93 +00300193 +27df1063 +00100093 +00709f13 +08000e93 +00400193 +25df1663 +00100093 +00e09f13 +00004eb7 +00500193 +23df1c63 +00100093 +01f09f13 +80000eb7 +00600193 +23df1263 +fff00093 +00009f13 +fff00e93 +00700193 +21df1863 +fff00093 +00109f13 +ffe00e93 +00800193 +1fdf1e63 +fff00093 +00709f13 +f8000e93 +00900193 +1fdf1463 +fff00093 +00e09f13 +ffffceb7 +00a00193 +1ddf1a63 +fff00093 +01f09f13 +80000eb7 +00b00193 +1ddf1063 +212120b7 +12108093 +00009f13 +21212eb7 +121e8e93 +00c00193 +1bdf1263 +212120b7 +12108093 +00109f13 +42424eb7 +242e8e93 +00d00193 +19df1463 +212120b7 +12108093 +00709f13 +90909eb7 +080e8e93 +00e00193 +17df1663 +212120b7 +12108093 +00e09f13 +48484eb7 +00f00193 +15df1a63 +212120b7 +12108093 +01f09f13 +80000eb7 +01000193 +13df1e63 +00100093 +00709093 +08000e93 +01100193 +13d09463 +00000213 +00100093 +00709f13 +000f0313 +00120213 +00200293 +fe5216e3 +08000e93 +01200193 +11d31063 +00000213 +00100093 +00e09f13 +00000013 +000f0313 +00120213 +00200293 +fe5214e3 +00004eb7 +01300193 +0dd31a63 +00000213 +00100093 +01f09f13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +80000eb7 +01400193 +0bd31263 +00000213 +00100093 +00709f13 +00120213 +00200293 +fe5218e3 +08000e93 +01500193 +09df1063 +00000213 +00100093 +00000013 +00e09f13 +00120213 +00200293 +fe5216e3 +00004eb7 +01600193 +05df1c63 +00000213 +00100093 +00000013 +00000013 +01f09f13 +00120213 +00200293 +fe5214e3 +80000eb7 +01700193 +03df1663 +01f01093 +00000e93 +01800193 +01d09e63 +02100093 +01409013 +00000e93 +01900193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-slli.verilog b/tests/isa/generated/rv32ui-p-slli.verilog old mode 100644 new mode 100755 index 1ca8c1a..1135f39 --- a/tests/isa/generated/rv32ui-p-slli.verilog +++ b/tests/isa/generated/rv32ui-p-slli.verilog @@ -1,52 +1,52 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 10 00 13 9F 00 00 -93 0E 10 00 93 01 20 00 63 1A DF 27 93 00 10 00 -13 9F 10 00 93 0E 20 00 93 01 30 00 63 10 DF 27 -93 00 10 00 13 9F 70 00 93 0E 00 08 93 01 40 00 -63 16 DF 25 93 00 10 00 13 9F E0 00 B7 4E 00 00 -93 01 50 00 63 1C DF 23 93 00 10 00 13 9F F0 01 -B7 0E 00 80 93 01 60 00 63 12 DF 23 93 00 F0 FF -13 9F 00 00 93 0E F0 FF 93 01 70 00 63 18 DF 21 -93 00 F0 FF 13 9F 10 00 93 0E E0 FF 93 01 80 00 -63 1E DF 1F 93 00 F0 FF 13 9F 70 00 93 0E 00 F8 -93 01 90 00 63 14 DF 1F 93 00 F0 FF 13 9F E0 00 -B7 CE FF FF 93 01 A0 00 63 1A DF 1D 93 00 F0 FF -13 9F F0 01 B7 0E 00 80 93 01 B0 00 63 10 DF 1D -B7 20 21 21 93 80 10 12 13 9F 00 00 B7 2E 21 21 -93 8E 1E 12 93 01 C0 00 63 12 DF 1B B7 20 21 21 -93 80 10 12 13 9F 10 00 B7 4E 42 42 93 8E 2E 24 -93 01 D0 00 63 14 DF 19 B7 20 21 21 93 80 10 12 -13 9F 70 00 B7 9E 90 90 93 8E 0E 08 93 01 E0 00 -63 16 DF 17 B7 20 21 21 93 80 10 12 13 9F E0 00 -B7 4E 48 48 93 01 F0 00 63 1A DF 15 B7 20 21 21 -93 80 10 12 13 9F F0 01 B7 0E 00 80 93 01 00 01 -63 1E DF 13 93 00 10 00 93 90 70 00 93 0E 00 08 -93 01 10 01 63 94 D0 13 13 02 00 00 93 00 10 00 -13 9F 70 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 16 52 FE 93 0E 00 08 93 01 20 01 63 10 D3 11 -13 02 00 00 93 00 10 00 13 9F E0 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 4E 00 00 93 01 30 01 63 1A D3 0D 13 02 00 00 -93 00 10 00 13 9F F0 01 13 00 00 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 0E 00 80 93 01 40 01 63 12 D3 0B 13 02 00 00 -93 00 10 00 13 9F 70 00 13 02 12 00 93 02 20 00 -E3 18 52 FE 93 0E 00 08 93 01 50 01 63 10 DF 09 -13 02 00 00 93 00 10 00 13 00 00 00 13 9F E0 00 -13 02 12 00 93 02 20 00 E3 16 52 FE B7 4E 00 00 -93 01 60 01 63 1C DF 05 13 02 00 00 93 00 10 00 -13 00 00 00 13 00 00 00 13 9F F0 01 13 02 12 00 -93 02 20 00 E3 14 52 FE B7 0E 00 80 93 01 70 01 -63 16 DF 03 93 10 F0 01 93 0E 00 00 93 01 80 01 -63 9E D0 01 93 00 10 02 13 90 40 01 93 0E 00 00 -93 01 90 01 63 14 D0 01 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000300 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 10 00 13 9F 00 00 +93 0E 10 00 93 01 20 00 63 1A DF 27 93 00 10 00 +13 9F 10 00 93 0E 20 00 93 01 30 00 63 10 DF 27 +93 00 10 00 13 9F 70 00 93 0E 00 08 93 01 40 00 +63 16 DF 25 93 00 10 00 13 9F E0 00 B7 4E 00 00 +93 01 50 00 63 1C DF 23 93 00 10 00 13 9F F0 01 +B7 0E 00 80 93 01 60 00 63 12 DF 23 93 00 F0 FF +13 9F 00 00 93 0E F0 FF 93 01 70 00 63 18 DF 21 +93 00 F0 FF 13 9F 10 00 93 0E E0 FF 93 01 80 00 +63 1E DF 1F 93 00 F0 FF 13 9F 70 00 93 0E 00 F8 +93 01 90 00 63 14 DF 1F 93 00 F0 FF 13 9F E0 00 +B7 CE FF FF 93 01 A0 00 63 1A DF 1D 93 00 F0 FF +13 9F F0 01 B7 0E 00 80 93 01 B0 00 63 10 DF 1D +B7 20 21 21 93 80 10 12 13 9F 00 00 B7 2E 21 21 +93 8E 1E 12 93 01 C0 00 63 12 DF 1B B7 20 21 21 +93 80 10 12 13 9F 10 00 B7 4E 42 42 93 8E 2E 24 +93 01 D0 00 63 14 DF 19 B7 20 21 21 93 80 10 12 +13 9F 70 00 B7 9E 90 90 93 8E 0E 08 93 01 E0 00 +63 16 DF 17 B7 20 21 21 93 80 10 12 13 9F E0 00 +B7 4E 48 48 93 01 F0 00 63 1A DF 15 B7 20 21 21 +93 80 10 12 13 9F F0 01 B7 0E 00 80 93 01 00 01 +63 1E DF 13 93 00 10 00 93 90 70 00 93 0E 00 08 +93 01 10 01 63 94 D0 13 13 02 00 00 93 00 10 00 +13 9F 70 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 16 52 FE 93 0E 00 08 93 01 20 01 63 10 D3 11 +13 02 00 00 93 00 10 00 13 9F E0 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE +B7 4E 00 00 93 01 30 01 63 1A D3 0D 13 02 00 00 +93 00 10 00 13 9F F0 01 13 00 00 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 0E 00 80 93 01 40 01 63 12 D3 0B 13 02 00 00 +93 00 10 00 13 9F 70 00 13 02 12 00 93 02 20 00 +E3 18 52 FE 93 0E 00 08 93 01 50 01 63 10 DF 09 +13 02 00 00 93 00 10 00 13 00 00 00 13 9F E0 00 +13 02 12 00 93 02 20 00 E3 16 52 FE B7 4E 00 00 +93 01 60 01 63 1C DF 05 13 02 00 00 93 00 10 00 +13 00 00 00 13 00 00 00 13 9F F0 01 13 02 12 00 +93 02 20 00 E3 14 52 FE B7 0E 00 80 93 01 70 01 +63 16 DF 03 93 10 F0 01 93 0E 00 00 93 01 80 01 +63 9E D0 01 93 00 10 02 13 90 40 01 93 0E 00 00 +93 01 90 01 63 14 D0 01 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000300 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-slt b/tests/isa/generated/rv32ui-p-slt old mode 100644 new mode 100755 index 858b3b5..078e4c5 Binary files a/tests/isa/generated/rv32ui-p-slt and b/tests/isa/generated/rv32ui-p-slt differ diff --git a/tests/isa/generated/rv32ui-p-slt.bin b/tests/isa/generated/rv32ui-p-slt.bin old mode 100644 new mode 100755 index 78df913..0048e69 Binary files a/tests/isa/generated/rv32ui-p-slt.bin and b/tests/isa/generated/rv32ui-p-slt.bin differ diff --git a/tests/isa/generated/rv32ui-p-slt.dump b/tests/isa/generated/rv32ui-p-slt.dump index 289d843..731bf36 100644 --- a/tests/isa/generated/rv32ui-p-slt.dump +++ b/tests/isa/generated/rv32ui-p-slt.dump @@ -389,15 +389,15 @@ Disassembly of section .text.init: 4cc: 00301863 bne zero,gp,4dc 000004d0 : - 4d0: 00100d13 li s10,1 - 4d4: 00000d93 li s11,0 + 4d0: 00000d93 li s11,0 + 4d4: 00100d13 li s10,1 000004d8 : 4d8: 0000006f j 4d8 000004dc : - 4dc: 00100d13 li s10,1 - 4e0: 00100d93 li s11,1 + 4dc: 00100d93 li s11,1 + 4e0: 00100d13 li s10,1 000004e4 : 4e4: 0000006f j 4e4 @@ -410,3 +410,18 @@ Disassembly of section .tohost: 00000580 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-slt.mem b/tests/isa/generated/rv32ui-p-slt.mem new file mode 100644 index 0000000..fd1e74e --- /dev/null +++ b/tests/isa/generated/rv32ui-p-slt.mem @@ -0,0 +1,354 @@ +00000d13 +00000d93 +00000093 +00000113 +0020af33 +00000e93 +00200193 +4bdf1a63 +00100093 +00100113 +0020af33 +00000e93 +00300193 +49df1e63 +00300093 +00700113 +0020af33 +00100e93 +00400193 +49df1263 +00700093 +00300113 +0020af33 +00000e93 +00500193 +47df1663 +00000093 +ffff8137 +0020af33 +00000e93 +00600193 +45df1a63 +800000b7 +00000113 +0020af33 +00100e93 +00700193 +43df1e63 +800000b7 +ffff8137 +0020af33 +00100e93 +00800193 +43df1263 +00000093 +00008137 +fff10113 +0020af33 +00100e93 +00900193 +41df1463 +800000b7 +fff08093 +00000113 +0020af33 +00000e93 +00a00193 +3fdf1663 +800000b7 +fff08093 +00008137 +fff10113 +0020af33 +00000e93 +00b00193 +3ddf1663 +800000b7 +00008137 +fff10113 +0020af33 +00100e93 +00c00193 +3bdf1863 +800000b7 +fff08093 +ffff8137 +0020af33 +00000e93 +00d00193 +39df1a63 +00000093 +fff00113 +0020af33 +00000e93 +00e00193 +37df1e63 +fff00093 +00100113 +0020af33 +00100e93 +00f00193 +37df1263 +fff00093 +fff00113 +0020af33 +00000e93 +01000193 +35df1663 +00e00093 +00d00113 +0020a0b3 +00000e93 +01100193 +33d09a63 +00b00093 +00d00113 +0020a133 +00100e93 +01200193 +31d11e63 +00d00093 +0010a0b3 +00000e93 +01300193 +31d09463 +00000213 +00b00093 +00d00113 +0020af33 +000f0313 +00120213 +00200293 +fe5214e3 +00100e93 +01400193 +2dd31e63 +00000213 +00e00093 +00d00113 +0020af33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00000e93 +01500193 +2bd31663 +00000213 +00c00093 +00d00113 +0020af33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +00100e93 +01600193 +27d31c63 +00000213 +00e00093 +00d00113 +0020af33 +00120213 +00200293 +fe5216e3 +00000e93 +01700193 +25df1863 +00000213 +00b00093 +00d00113 +00000013 +0020af33 +00120213 +00200293 +fe5214e3 +00100e93 +01800193 +23df1263 +00000213 +00f00093 +00d00113 +00000013 +00000013 +0020af33 +00120213 +00200293 +fe5212e3 +00000e93 +01900193 +1fdf1a63 +00000213 +00a00093 +00000013 +00d00113 +0020af33 +00120213 +00200293 +fe5214e3 +00100e93 +01a00193 +1ddf1463 +00000213 +01000093 +00000013 +00d00113 +00000013 +0020af33 +00120213 +00200293 +fe5212e3 +00000e93 +01b00193 +19df1c63 +00000213 +00900093 +00000013 +00000013 +00d00113 +0020af33 +00120213 +00200293 +fe5212e3 +00100e93 +01c00193 +17df1463 +00000213 +00d00113 +01100093 +0020af33 +00120213 +00200293 +fe5216e3 +00000e93 +01d00193 +15df1063 +00000213 +00d00113 +00800093 +00000013 +0020af33 +00120213 +00200293 +fe5214e3 +00100e93 +01e00193 +11df1a63 +00000213 +00d00113 +01200093 +00000013 +00000013 +0020af33 +00120213 +00200293 +fe5212e3 +00000e93 +01f00193 +0fdf1263 +00000213 +00d00113 +00000013 +00700093 +0020af33 +00120213 +00200293 +fe5214e3 +00100e93 +02000193 +0bdf1c63 +00000213 +00d00113 +00000013 +01300093 +00000013 +0020af33 +00120213 +00200293 +fe5212e3 +00000e93 +02100193 +09df1463 +00000213 +00d00113 +00000013 +00000013 +00600093 +0020af33 +00120213 +00200293 +fe5212e3 +00100e93 +02200193 +05df1c63 +fff00093 +00102133 +00000e93 +02300193 +05d11263 +fff00093 +0000a133 +00100e93 +02400193 +03d11863 +000020b3 +00000e93 +02500193 +03d09063 +01000093 +01e00113 +0020a033 +00000e93 +02600193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-slt.verilog b/tests/isa/generated/rv32ui-p-slt.verilog old mode 100644 new mode 100755 index c0768b8..a1fdb75 --- a/tests/isa/generated/rv32ui-p-slt.verilog +++ b/tests/isa/generated/rv32ui-p-slt.verilog @@ -1,88 +1,88 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 -33 AF 20 00 93 0E 00 00 93 01 20 00 63 1A DF 4B -93 00 10 00 13 01 10 00 33 AF 20 00 93 0E 00 00 -93 01 30 00 63 1E DF 49 93 00 30 00 13 01 70 00 -33 AF 20 00 93 0E 10 00 93 01 40 00 63 12 DF 49 -93 00 70 00 13 01 30 00 33 AF 20 00 93 0E 00 00 -93 01 50 00 63 16 DF 47 93 00 00 00 37 81 FF FF -33 AF 20 00 93 0E 00 00 93 01 60 00 63 1A DF 45 -B7 00 00 80 13 01 00 00 33 AF 20 00 93 0E 10 00 -93 01 70 00 63 1E DF 43 B7 00 00 80 37 81 FF FF -33 AF 20 00 93 0E 10 00 93 01 80 00 63 12 DF 43 -93 00 00 00 37 81 00 00 13 01 F1 FF 33 AF 20 00 -93 0E 10 00 93 01 90 00 63 14 DF 41 B7 00 00 80 -93 80 F0 FF 13 01 00 00 33 AF 20 00 93 0E 00 00 -93 01 A0 00 63 16 DF 3F B7 00 00 80 93 80 F0 FF -37 81 00 00 13 01 F1 FF 33 AF 20 00 93 0E 00 00 -93 01 B0 00 63 16 DF 3D B7 00 00 80 37 81 00 00 -13 01 F1 FF 33 AF 20 00 93 0E 10 00 93 01 C0 00 -63 18 DF 3B B7 00 00 80 93 80 F0 FF 37 81 FF FF -33 AF 20 00 93 0E 00 00 93 01 D0 00 63 1A DF 39 -93 00 00 00 13 01 F0 FF 33 AF 20 00 93 0E 00 00 -93 01 E0 00 63 1E DF 37 93 00 F0 FF 13 01 10 00 -33 AF 20 00 93 0E 10 00 93 01 F0 00 63 12 DF 37 -93 00 F0 FF 13 01 F0 FF 33 AF 20 00 93 0E 00 00 -93 01 00 01 63 16 DF 35 93 00 E0 00 13 01 D0 00 -B3 A0 20 00 93 0E 00 00 93 01 10 01 63 9A D0 33 -93 00 B0 00 13 01 D0 00 33 A1 20 00 93 0E 10 00 -93 01 20 01 63 1E D1 31 93 00 D0 00 B3 A0 10 00 -93 0E 00 00 93 01 30 01 63 94 D0 31 13 02 00 00 -93 00 B0 00 13 01 D0 00 33 AF 20 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 10 00 -93 01 40 01 63 1E D3 2D 13 02 00 00 93 00 E0 00 -13 01 D0 00 33 AF 20 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 00 00 -93 01 50 01 63 16 D3 2B 13 02 00 00 93 00 C0 00 -13 01 D0 00 33 AF 20 00 13 00 00 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE -93 0E 10 00 93 01 60 01 63 1C D3 27 13 02 00 00 -93 00 E0 00 13 01 D0 00 33 AF 20 00 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 70 01 -63 18 DF 25 13 02 00 00 93 00 B0 00 13 01 D0 00 -13 00 00 00 33 AF 20 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 10 00 93 01 80 01 63 12 DF 23 -13 02 00 00 93 00 F0 00 13 01 D0 00 13 00 00 00 -13 00 00 00 33 AF 20 00 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 00 00 93 01 90 01 63 1A DF 1F -13 02 00 00 93 00 A0 00 13 00 00 00 13 01 D0 00 -33 AF 20 00 13 02 12 00 93 02 20 00 E3 14 52 FE -93 0E 10 00 93 01 A0 01 63 14 DF 1D 13 02 00 00 -93 00 00 01 13 00 00 00 13 01 D0 00 13 00 00 00 -33 AF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 00 00 93 01 B0 01 63 1C DF 19 13 02 00 00 -93 00 90 00 13 00 00 00 13 00 00 00 13 01 D0 00 -33 AF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 10 00 93 01 C0 01 63 14 DF 17 13 02 00 00 -13 01 D0 00 93 00 10 01 33 AF 20 00 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 D0 01 -63 10 DF 15 13 02 00 00 13 01 D0 00 93 00 80 00 -13 00 00 00 33 AF 20 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 10 00 93 01 E0 01 63 1A DF 11 -13 02 00 00 13 01 D0 00 93 00 20 01 13 00 00 00 -13 00 00 00 33 AF 20 00 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 00 00 93 01 F0 01 63 12 DF 0F -13 02 00 00 13 01 D0 00 13 00 00 00 93 00 70 00 -33 AF 20 00 13 02 12 00 93 02 20 00 E3 14 52 FE -93 0E 10 00 93 01 00 02 63 1C DF 0B 13 02 00 00 -13 01 D0 00 13 00 00 00 93 00 30 01 13 00 00 00 -33 AF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 00 00 93 01 10 02 63 14 DF 09 13 02 00 00 -13 01 D0 00 13 00 00 00 13 00 00 00 93 00 60 00 -33 AF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 10 00 93 01 20 02 63 1C DF 05 93 00 F0 FF -33 21 10 00 93 0E 00 00 93 01 30 02 63 12 D1 05 -93 00 F0 FF 33 A1 00 00 93 0E 10 00 93 01 40 02 -63 18 D1 03 B3 20 00 00 93 0E 00 00 93 01 50 02 -63 90 D0 03 93 00 00 01 13 01 E0 01 33 A0 20 00 -93 0E 00 00 93 01 60 02 63 14 D0 01 63 18 30 00 -13 0D 10 00 93 0D 00 00 6F 00 00 00 13 0D 10 00 -93 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000540 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 +33 AF 20 00 93 0E 00 00 93 01 20 00 63 1A DF 4B +93 00 10 00 13 01 10 00 33 AF 20 00 93 0E 00 00 +93 01 30 00 63 1E DF 49 93 00 30 00 13 01 70 00 +33 AF 20 00 93 0E 10 00 93 01 40 00 63 12 DF 49 +93 00 70 00 13 01 30 00 33 AF 20 00 93 0E 00 00 +93 01 50 00 63 16 DF 47 93 00 00 00 37 81 FF FF +33 AF 20 00 93 0E 00 00 93 01 60 00 63 1A DF 45 +B7 00 00 80 13 01 00 00 33 AF 20 00 93 0E 10 00 +93 01 70 00 63 1E DF 43 B7 00 00 80 37 81 FF FF +33 AF 20 00 93 0E 10 00 93 01 80 00 63 12 DF 43 +93 00 00 00 37 81 00 00 13 01 F1 FF 33 AF 20 00 +93 0E 10 00 93 01 90 00 63 14 DF 41 B7 00 00 80 +93 80 F0 FF 13 01 00 00 33 AF 20 00 93 0E 00 00 +93 01 A0 00 63 16 DF 3F B7 00 00 80 93 80 F0 FF +37 81 00 00 13 01 F1 FF 33 AF 20 00 93 0E 00 00 +93 01 B0 00 63 16 DF 3D B7 00 00 80 37 81 00 00 +13 01 F1 FF 33 AF 20 00 93 0E 10 00 93 01 C0 00 +63 18 DF 3B B7 00 00 80 93 80 F0 FF 37 81 FF FF +33 AF 20 00 93 0E 00 00 93 01 D0 00 63 1A DF 39 +93 00 00 00 13 01 F0 FF 33 AF 20 00 93 0E 00 00 +93 01 E0 00 63 1E DF 37 93 00 F0 FF 13 01 10 00 +33 AF 20 00 93 0E 10 00 93 01 F0 00 63 12 DF 37 +93 00 F0 FF 13 01 F0 FF 33 AF 20 00 93 0E 00 00 +93 01 00 01 63 16 DF 35 93 00 E0 00 13 01 D0 00 +B3 A0 20 00 93 0E 00 00 93 01 10 01 63 9A D0 33 +93 00 B0 00 13 01 D0 00 33 A1 20 00 93 0E 10 00 +93 01 20 01 63 1E D1 31 93 00 D0 00 B3 A0 10 00 +93 0E 00 00 93 01 30 01 63 94 D0 31 13 02 00 00 +93 00 B0 00 13 01 D0 00 33 AF 20 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 10 00 +93 01 40 01 63 1E D3 2D 13 02 00 00 93 00 E0 00 +13 01 D0 00 33 AF 20 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 00 00 +93 01 50 01 63 16 D3 2B 13 02 00 00 93 00 C0 00 +13 01 D0 00 33 AF 20 00 13 00 00 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE +93 0E 10 00 93 01 60 01 63 1C D3 27 13 02 00 00 +93 00 E0 00 13 01 D0 00 33 AF 20 00 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 70 01 +63 18 DF 25 13 02 00 00 93 00 B0 00 13 01 D0 00 +13 00 00 00 33 AF 20 00 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 10 00 93 01 80 01 63 12 DF 23 +13 02 00 00 93 00 F0 00 13 01 D0 00 13 00 00 00 +13 00 00 00 33 AF 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 00 00 93 01 90 01 63 1A DF 1F +13 02 00 00 93 00 A0 00 13 00 00 00 13 01 D0 00 +33 AF 20 00 13 02 12 00 93 02 20 00 E3 14 52 FE +93 0E 10 00 93 01 A0 01 63 14 DF 1D 13 02 00 00 +93 00 00 01 13 00 00 00 13 01 D0 00 13 00 00 00 +33 AF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 00 00 93 01 B0 01 63 1C DF 19 13 02 00 00 +93 00 90 00 13 00 00 00 13 00 00 00 13 01 D0 00 +33 AF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 10 00 93 01 C0 01 63 14 DF 17 13 02 00 00 +13 01 D0 00 93 00 10 01 33 AF 20 00 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 D0 01 +63 10 DF 15 13 02 00 00 13 01 D0 00 93 00 80 00 +13 00 00 00 33 AF 20 00 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 10 00 93 01 E0 01 63 1A DF 11 +13 02 00 00 13 01 D0 00 93 00 20 01 13 00 00 00 +13 00 00 00 33 AF 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 00 00 93 01 F0 01 63 12 DF 0F +13 02 00 00 13 01 D0 00 13 00 00 00 93 00 70 00 +33 AF 20 00 13 02 12 00 93 02 20 00 E3 14 52 FE +93 0E 10 00 93 01 00 02 63 1C DF 0B 13 02 00 00 +13 01 D0 00 13 00 00 00 93 00 30 01 13 00 00 00 +33 AF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 00 00 93 01 10 02 63 14 DF 09 13 02 00 00 +13 01 D0 00 13 00 00 00 13 00 00 00 93 00 60 00 +33 AF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 10 00 93 01 20 02 63 1C DF 05 93 00 F0 FF +33 21 10 00 93 0E 00 00 93 01 30 02 63 12 D1 05 +93 00 F0 FF 33 A1 00 00 93 0E 10 00 93 01 40 02 +63 18 D1 03 B3 20 00 00 93 0E 00 00 93 01 50 02 +63 90 D0 03 93 00 00 01 13 01 E0 01 33 A0 20 00 +93 0E 00 00 93 01 60 02 63 14 D0 01 63 18 30 00 +93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 +13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000540 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-slti b/tests/isa/generated/rv32ui-p-slti old mode 100644 new mode 100755 index 2ad5022..d5023f2 Binary files a/tests/isa/generated/rv32ui-p-slti and b/tests/isa/generated/rv32ui-p-slti differ diff --git a/tests/isa/generated/rv32ui-p-slti.bin b/tests/isa/generated/rv32ui-p-slti.bin old mode 100644 new mode 100755 index 6dd6037..c921359 Binary files a/tests/isa/generated/rv32ui-p-slti.bin and b/tests/isa/generated/rv32ui-p-slti.bin differ diff --git a/tests/isa/generated/rv32ui-p-slti.dump b/tests/isa/generated/rv32ui-p-slti.dump index 547ac38..c45c650 100644 --- a/tests/isa/generated/rv32ui-p-slti.dump +++ b/tests/isa/generated/rv32ui-p-slti.dump @@ -214,15 +214,15 @@ Disassembly of section .text.init: 278: 00301863 bne zero,gp,288 0000027c : - 27c: 00100d13 li s10,1 - 280: 00000d93 li s11,0 + 27c: 00000d93 li s11,0 + 280: 00100d13 li s10,1 00000284 : 284: 0000006f j 284 00000288 : - 288: 00100d13 li s10,1 - 28c: 00100d93 li s11,1 + 288: 00100d93 li s11,1 + 28c: 00100d13 li s10,1 00000290 : 290: 0000006f j 290 @@ -235,3 +235,18 @@ Disassembly of section .tohost: 00000340 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-slti.mem b/tests/isa/generated/rv32ui-p-slti.mem new file mode 100644 index 0000000..6dc012b --- /dev/null +++ b/tests/isa/generated/rv32ui-p-slti.mem @@ -0,0 +1,210 @@ +00000d13 +00000d93 +00000093 +0000af13 +00000e93 +00200193 +27df1263 +00100093 +0010af13 +00000e93 +00300193 +25df1863 +00300093 +0070af13 +00100e93 +00400193 +23df1e63 +00700093 +0030af13 +00000e93 +00500193 +23df1463 +00000093 +8000af13 +00000e93 +00600193 +21df1a63 +800000b7 +0000af13 +00100e93 +00700193 +21df1063 +800000b7 +8000af13 +00100e93 +00800193 +1fdf1663 +00000093 +7ff0af13 +00100e93 +00900193 +1ddf1c63 +800000b7 +fff08093 +0000af13 +00000e93 +00a00193 +1ddf1063 +800000b7 +fff08093 +7ff0af13 +00000e93 +00b00193 +1bdf1463 +800000b7 +7ff0af13 +00100e93 +00c00193 +19df1a63 +800000b7 +fff08093 +8000af13 +00000e93 +00d00193 +17df1e63 +00000093 +fff0af13 +00000e93 +00e00193 +17df1463 +fff00093 +0010af13 +00100e93 +00f00193 +15df1a63 +fff00093 +fff0af13 +00000e93 +01000193 +15df1063 +00b00093 +00d0a093 +00100e93 +01100193 +13d09663 +00000213 +00f00093 +00a0af13 +000f0313 +00120213 +00200293 +fe5216e3 +00000e93 +01200193 +11d31263 +00000213 +00a00093 +0100af13 +00000013 +000f0313 +00120213 +00200293 +fe5214e3 +00100e93 +01300193 +0dd31c63 +00000213 +01000093 +0090af13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00000e93 +01400193 +0bd31463 +00000213 +00b00093 +00f0af13 +00120213 +00200293 +fe5218e3 +00100e93 +01500193 +09df1263 +00000213 +01100093 +00000013 +0080af13 +00120213 +00200293 +fe5216e3 +00000e93 +01600193 +05df1e63 +00000213 +00c00093 +00000013 +00000013 +00e0af13 +00120213 +00200293 +fe5214e3 +00100e93 +01700193 +03df1863 +fff02093 +00000e93 +01800193 +03d09063 +00ff00b7 +0ff08093 +fff0a013 +00000e93 +01900193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-slti.verilog b/tests/isa/generated/rv32ui-p-slti.verilog old mode 100644 new mode 100755 index 921d8ad..d91e95c --- a/tests/isa/generated/rv32ui-p-slti.verilog +++ b/tests/isa/generated/rv32ui-p-slti.verilog @@ -1,52 +1,52 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 AF 00 00 -93 0E 00 00 93 01 20 00 63 12 DF 27 93 00 10 00 -13 AF 10 00 93 0E 00 00 93 01 30 00 63 18 DF 25 -93 00 30 00 13 AF 70 00 93 0E 10 00 93 01 40 00 -63 1E DF 23 93 00 70 00 13 AF 30 00 93 0E 00 00 -93 01 50 00 63 14 DF 23 93 00 00 00 13 AF 00 80 -93 0E 00 00 93 01 60 00 63 1A DF 21 B7 00 00 80 -13 AF 00 00 93 0E 10 00 93 01 70 00 63 10 DF 21 -B7 00 00 80 13 AF 00 80 93 0E 10 00 93 01 80 00 -63 16 DF 1F 93 00 00 00 13 AF F0 7F 93 0E 10 00 -93 01 90 00 63 1C DF 1D B7 00 00 80 93 80 F0 FF -13 AF 00 00 93 0E 00 00 93 01 A0 00 63 10 DF 1D -B7 00 00 80 93 80 F0 FF 13 AF F0 7F 93 0E 00 00 -93 01 B0 00 63 14 DF 1B B7 00 00 80 13 AF F0 7F -93 0E 10 00 93 01 C0 00 63 1A DF 19 B7 00 00 80 -93 80 F0 FF 13 AF 00 80 93 0E 00 00 93 01 D0 00 -63 1E DF 17 93 00 00 00 13 AF F0 FF 93 0E 00 00 -93 01 E0 00 63 14 DF 17 93 00 F0 FF 13 AF 10 00 -93 0E 10 00 93 01 F0 00 63 1A DF 15 93 00 F0 FF -13 AF F0 FF 93 0E 00 00 93 01 00 01 63 10 DF 15 -93 00 B0 00 93 A0 D0 00 93 0E 10 00 93 01 10 01 -63 96 D0 13 13 02 00 00 93 00 F0 00 13 AF A0 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 16 52 FE -93 0E 00 00 93 01 20 01 63 12 D3 11 13 02 00 00 -93 00 A0 00 13 AF 00 01 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 10 00 -93 01 30 01 63 1C D3 0D 13 02 00 00 93 00 00 01 -13 AF 90 00 13 00 00 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 00 00 -93 01 40 01 63 14 D3 0B 13 02 00 00 93 00 B0 00 -13 AF F0 00 13 02 12 00 93 02 20 00 E3 18 52 FE -93 0E 10 00 93 01 50 01 63 12 DF 09 13 02 00 00 -93 00 10 01 13 00 00 00 13 AF 80 00 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 60 01 -63 1E DF 05 13 02 00 00 93 00 C0 00 13 00 00 00 -13 00 00 00 13 AF E0 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 10 00 93 01 70 01 63 18 DF 03 -93 20 F0 FF 93 0E 00 00 93 01 80 01 63 90 D0 03 -B7 00 FF 00 93 80 F0 0F 13 A0 F0 FF 93 0E 00 00 -93 01 90 01 63 14 D0 01 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000300 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 00 00 13 AF 00 00 +93 0E 00 00 93 01 20 00 63 12 DF 27 93 00 10 00 +13 AF 10 00 93 0E 00 00 93 01 30 00 63 18 DF 25 +93 00 30 00 13 AF 70 00 93 0E 10 00 93 01 40 00 +63 1E DF 23 93 00 70 00 13 AF 30 00 93 0E 00 00 +93 01 50 00 63 14 DF 23 93 00 00 00 13 AF 00 80 +93 0E 00 00 93 01 60 00 63 1A DF 21 B7 00 00 80 +13 AF 00 00 93 0E 10 00 93 01 70 00 63 10 DF 21 +B7 00 00 80 13 AF 00 80 93 0E 10 00 93 01 80 00 +63 16 DF 1F 93 00 00 00 13 AF F0 7F 93 0E 10 00 +93 01 90 00 63 1C DF 1D B7 00 00 80 93 80 F0 FF +13 AF 00 00 93 0E 00 00 93 01 A0 00 63 10 DF 1D +B7 00 00 80 93 80 F0 FF 13 AF F0 7F 93 0E 00 00 +93 01 B0 00 63 14 DF 1B B7 00 00 80 13 AF F0 7F +93 0E 10 00 93 01 C0 00 63 1A DF 19 B7 00 00 80 +93 80 F0 FF 13 AF 00 80 93 0E 00 00 93 01 D0 00 +63 1E DF 17 93 00 00 00 13 AF F0 FF 93 0E 00 00 +93 01 E0 00 63 14 DF 17 93 00 F0 FF 13 AF 10 00 +93 0E 10 00 93 01 F0 00 63 1A DF 15 93 00 F0 FF +13 AF F0 FF 93 0E 00 00 93 01 00 01 63 10 DF 15 +93 00 B0 00 93 A0 D0 00 93 0E 10 00 93 01 10 01 +63 96 D0 13 13 02 00 00 93 00 F0 00 13 AF A0 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 16 52 FE +93 0E 00 00 93 01 20 01 63 12 D3 11 13 02 00 00 +93 00 A0 00 13 AF 00 01 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 10 00 +93 01 30 01 63 1C D3 0D 13 02 00 00 93 00 00 01 +13 AF 90 00 13 00 00 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 00 00 +93 01 40 01 63 14 D3 0B 13 02 00 00 93 00 B0 00 +13 AF F0 00 13 02 12 00 93 02 20 00 E3 18 52 FE +93 0E 10 00 93 01 50 01 63 12 DF 09 13 02 00 00 +93 00 10 01 13 00 00 00 13 AF 80 00 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 60 01 +63 1E DF 05 13 02 00 00 93 00 C0 00 13 00 00 00 +13 00 00 00 13 AF E0 00 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 10 00 93 01 70 01 63 18 DF 03 +93 20 F0 FF 93 0E 00 00 93 01 80 01 63 90 D0 03 +B7 00 FF 00 93 80 F0 0F 13 A0 F0 FF 93 0E 00 00 +93 01 90 01 63 14 D0 01 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000300 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-sltiu b/tests/isa/generated/rv32ui-p-sltiu old mode 100644 new mode 100755 index aa0ba18..2dd04c4 Binary files a/tests/isa/generated/rv32ui-p-sltiu and b/tests/isa/generated/rv32ui-p-sltiu differ diff --git a/tests/isa/generated/rv32ui-p-sltiu.bin b/tests/isa/generated/rv32ui-p-sltiu.bin old mode 100644 new mode 100755 index 89e9309..1db30ad Binary files a/tests/isa/generated/rv32ui-p-sltiu.bin and b/tests/isa/generated/rv32ui-p-sltiu.bin differ diff --git a/tests/isa/generated/rv32ui-p-sltiu.dump b/tests/isa/generated/rv32ui-p-sltiu.dump index 2fbdf57..aef0bbf 100644 --- a/tests/isa/generated/rv32ui-p-sltiu.dump +++ b/tests/isa/generated/rv32ui-p-sltiu.dump @@ -214,15 +214,15 @@ Disassembly of section .text.init: 278: 00301863 bne zero,gp,288 0000027c : - 27c: 00100d13 li s10,1 - 280: 00000d93 li s11,0 + 27c: 00000d93 li s11,0 + 280: 00100d13 li s10,1 00000284 : 284: 0000006f j 284 00000288 : - 288: 00100d13 li s10,1 - 28c: 00100d93 li s11,1 + 288: 00100d93 li s11,1 + 28c: 00100d13 li s10,1 00000290 : 290: 0000006f j 290 @@ -235,3 +235,18 @@ Disassembly of section .tohost: 00000340 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-sltiu.mem b/tests/isa/generated/rv32ui-p-sltiu.mem new file mode 100644 index 0000000..e4da9cc --- /dev/null +++ b/tests/isa/generated/rv32ui-p-sltiu.mem @@ -0,0 +1,210 @@ +00000d13 +00000d93 +00000093 +0000bf13 +00000e93 +00200193 +27df1263 +00100093 +0010bf13 +00000e93 +00300193 +25df1863 +00300093 +0070bf13 +00100e93 +00400193 +23df1e63 +00700093 +0030bf13 +00000e93 +00500193 +23df1463 +00000093 +8000bf13 +00100e93 +00600193 +21df1a63 +800000b7 +0000bf13 +00000e93 +00700193 +21df1063 +800000b7 +8000bf13 +00100e93 +00800193 +1fdf1663 +00000093 +7ff0bf13 +00100e93 +00900193 +1ddf1c63 +800000b7 +fff08093 +0000bf13 +00000e93 +00a00193 +1ddf1063 +800000b7 +fff08093 +7ff0bf13 +00000e93 +00b00193 +1bdf1463 +800000b7 +7ff0bf13 +00000e93 +00c00193 +19df1a63 +800000b7 +fff08093 +8000bf13 +00100e93 +00d00193 +17df1e63 +00000093 +fff0bf13 +00100e93 +00e00193 +17df1463 +fff00093 +0010bf13 +00000e93 +00f00193 +15df1a63 +fff00093 +fff0bf13 +00000e93 +01000193 +15df1063 +00b00093 +00d0b093 +00100e93 +01100193 +13d09663 +00000213 +00f00093 +00a0bf13 +000f0313 +00120213 +00200293 +fe5216e3 +00000e93 +01200193 +11d31263 +00000213 +00a00093 +0100bf13 +00000013 +000f0313 +00120213 +00200293 +fe5214e3 +00100e93 +01300193 +0dd31c63 +00000213 +01000093 +0090bf13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00000e93 +01400193 +0bd31463 +00000213 +00b00093 +00f0bf13 +00120213 +00200293 +fe5218e3 +00100e93 +01500193 +09df1263 +00000213 +01100093 +00000013 +0080bf13 +00120213 +00200293 +fe5216e3 +00000e93 +01600193 +05df1e63 +00000213 +00c00093 +00000013 +00000013 +00e0bf13 +00120213 +00200293 +fe5214e3 +00100e93 +01700193 +03df1863 +fff03093 +00100e93 +01800193 +03d09063 +00ff00b7 +0ff08093 +fff0b013 +00000e93 +01900193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-sltiu.verilog b/tests/isa/generated/rv32ui-p-sltiu.verilog old mode 100644 new mode 100755 index 2315f1f..c77cd44 --- a/tests/isa/generated/rv32ui-p-sltiu.verilog +++ b/tests/isa/generated/rv32ui-p-sltiu.verilog @@ -1,52 +1,52 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 BF 00 00 -93 0E 00 00 93 01 20 00 63 12 DF 27 93 00 10 00 -13 BF 10 00 93 0E 00 00 93 01 30 00 63 18 DF 25 -93 00 30 00 13 BF 70 00 93 0E 10 00 93 01 40 00 -63 1E DF 23 93 00 70 00 13 BF 30 00 93 0E 00 00 -93 01 50 00 63 14 DF 23 93 00 00 00 13 BF 00 80 -93 0E 10 00 93 01 60 00 63 1A DF 21 B7 00 00 80 -13 BF 00 00 93 0E 00 00 93 01 70 00 63 10 DF 21 -B7 00 00 80 13 BF 00 80 93 0E 10 00 93 01 80 00 -63 16 DF 1F 93 00 00 00 13 BF F0 7F 93 0E 10 00 -93 01 90 00 63 1C DF 1D B7 00 00 80 93 80 F0 FF -13 BF 00 00 93 0E 00 00 93 01 A0 00 63 10 DF 1D -B7 00 00 80 93 80 F0 FF 13 BF F0 7F 93 0E 00 00 -93 01 B0 00 63 14 DF 1B B7 00 00 80 13 BF F0 7F -93 0E 00 00 93 01 C0 00 63 1A DF 19 B7 00 00 80 -93 80 F0 FF 13 BF 00 80 93 0E 10 00 93 01 D0 00 -63 1E DF 17 93 00 00 00 13 BF F0 FF 93 0E 10 00 -93 01 E0 00 63 14 DF 17 93 00 F0 FF 13 BF 10 00 -93 0E 00 00 93 01 F0 00 63 1A DF 15 93 00 F0 FF -13 BF F0 FF 93 0E 00 00 93 01 00 01 63 10 DF 15 -93 00 B0 00 93 B0 D0 00 93 0E 10 00 93 01 10 01 -63 96 D0 13 13 02 00 00 93 00 F0 00 13 BF A0 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 16 52 FE -93 0E 00 00 93 01 20 01 63 12 D3 11 13 02 00 00 -93 00 A0 00 13 BF 00 01 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 10 00 -93 01 30 01 63 1C D3 0D 13 02 00 00 93 00 00 01 -13 BF 90 00 13 00 00 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 00 00 -93 01 40 01 63 14 D3 0B 13 02 00 00 93 00 B0 00 -13 BF F0 00 13 02 12 00 93 02 20 00 E3 18 52 FE -93 0E 10 00 93 01 50 01 63 12 DF 09 13 02 00 00 -93 00 10 01 13 00 00 00 13 BF 80 00 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 60 01 -63 1E DF 05 13 02 00 00 93 00 C0 00 13 00 00 00 -13 00 00 00 13 BF E0 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 10 00 93 01 70 01 63 18 DF 03 -93 30 F0 FF 93 0E 10 00 93 01 80 01 63 90 D0 03 -B7 00 FF 00 93 80 F0 0F 13 B0 F0 FF 93 0E 00 00 -93 01 90 01 63 14 D0 01 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000300 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 00 00 13 BF 00 00 +93 0E 00 00 93 01 20 00 63 12 DF 27 93 00 10 00 +13 BF 10 00 93 0E 00 00 93 01 30 00 63 18 DF 25 +93 00 30 00 13 BF 70 00 93 0E 10 00 93 01 40 00 +63 1E DF 23 93 00 70 00 13 BF 30 00 93 0E 00 00 +93 01 50 00 63 14 DF 23 93 00 00 00 13 BF 00 80 +93 0E 10 00 93 01 60 00 63 1A DF 21 B7 00 00 80 +13 BF 00 00 93 0E 00 00 93 01 70 00 63 10 DF 21 +B7 00 00 80 13 BF 00 80 93 0E 10 00 93 01 80 00 +63 16 DF 1F 93 00 00 00 13 BF F0 7F 93 0E 10 00 +93 01 90 00 63 1C DF 1D B7 00 00 80 93 80 F0 FF +13 BF 00 00 93 0E 00 00 93 01 A0 00 63 10 DF 1D +B7 00 00 80 93 80 F0 FF 13 BF F0 7F 93 0E 00 00 +93 01 B0 00 63 14 DF 1B B7 00 00 80 13 BF F0 7F +93 0E 00 00 93 01 C0 00 63 1A DF 19 B7 00 00 80 +93 80 F0 FF 13 BF 00 80 93 0E 10 00 93 01 D0 00 +63 1E DF 17 93 00 00 00 13 BF F0 FF 93 0E 10 00 +93 01 E0 00 63 14 DF 17 93 00 F0 FF 13 BF 10 00 +93 0E 00 00 93 01 F0 00 63 1A DF 15 93 00 F0 FF +13 BF F0 FF 93 0E 00 00 93 01 00 01 63 10 DF 15 +93 00 B0 00 93 B0 D0 00 93 0E 10 00 93 01 10 01 +63 96 D0 13 13 02 00 00 93 00 F0 00 13 BF A0 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 16 52 FE +93 0E 00 00 93 01 20 01 63 12 D3 11 13 02 00 00 +93 00 A0 00 13 BF 00 01 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 10 00 +93 01 30 01 63 1C D3 0D 13 02 00 00 93 00 00 01 +13 BF 90 00 13 00 00 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 00 00 +93 01 40 01 63 14 D3 0B 13 02 00 00 93 00 B0 00 +13 BF F0 00 13 02 12 00 93 02 20 00 E3 18 52 FE +93 0E 10 00 93 01 50 01 63 12 DF 09 13 02 00 00 +93 00 10 01 13 00 00 00 13 BF 80 00 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 60 01 +63 1E DF 05 13 02 00 00 93 00 C0 00 13 00 00 00 +13 00 00 00 13 BF E0 00 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 10 00 93 01 70 01 63 18 DF 03 +93 30 F0 FF 93 0E 10 00 93 01 80 01 63 90 D0 03 +B7 00 FF 00 93 80 F0 0F 13 B0 F0 FF 93 0E 00 00 +93 01 90 01 63 14 D0 01 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000300 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-sltu b/tests/isa/generated/rv32ui-p-sltu old mode 100644 new mode 100755 index c8bbf26..b5fe71c Binary files a/tests/isa/generated/rv32ui-p-sltu and b/tests/isa/generated/rv32ui-p-sltu differ diff --git a/tests/isa/generated/rv32ui-p-sltu.bin b/tests/isa/generated/rv32ui-p-sltu.bin old mode 100644 new mode 100755 index 577af70..8fde02c Binary files a/tests/isa/generated/rv32ui-p-sltu.bin and b/tests/isa/generated/rv32ui-p-sltu.bin differ diff --git a/tests/isa/generated/rv32ui-p-sltu.dump b/tests/isa/generated/rv32ui-p-sltu.dump index 780dc20..16e4911 100644 --- a/tests/isa/generated/rv32ui-p-sltu.dump +++ b/tests/isa/generated/rv32ui-p-sltu.dump @@ -389,15 +389,15 @@ Disassembly of section .text.init: 4cc: 00301863 bne zero,gp,4dc 000004d0 : - 4d0: 00100d13 li s10,1 - 4d4: 00000d93 li s11,0 + 4d0: 00000d93 li s11,0 + 4d4: 00100d13 li s10,1 000004d8 : 4d8: 0000006f j 4d8 000004dc : - 4dc: 00100d13 li s10,1 - 4e0: 00100d93 li s11,1 + 4dc: 00100d93 li s11,1 + 4e0: 00100d13 li s10,1 000004e4 : 4e4: 0000006f j 4e4 @@ -410,3 +410,18 @@ Disassembly of section .tohost: 00000580 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-sltu.mem b/tests/isa/generated/rv32ui-p-sltu.mem new file mode 100644 index 0000000..40e5760 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-sltu.mem @@ -0,0 +1,354 @@ +00000d13 +00000d93 +00000093 +00000113 +0020bf33 +00000e93 +00200193 +4bdf1a63 +00100093 +00100113 +0020bf33 +00000e93 +00300193 +49df1e63 +00300093 +00700113 +0020bf33 +00100e93 +00400193 +49df1263 +00700093 +00300113 +0020bf33 +00000e93 +00500193 +47df1663 +00000093 +ffff8137 +0020bf33 +00100e93 +00600193 +45df1a63 +800000b7 +00000113 +0020bf33 +00000e93 +00700193 +43df1e63 +800000b7 +ffff8137 +0020bf33 +00100e93 +00800193 +43df1263 +00000093 +00008137 +fff10113 +0020bf33 +00100e93 +00900193 +41df1463 +800000b7 +fff08093 +00000113 +0020bf33 +00000e93 +00a00193 +3fdf1663 +800000b7 +fff08093 +00008137 +fff10113 +0020bf33 +00000e93 +00b00193 +3ddf1663 +800000b7 +00008137 +fff10113 +0020bf33 +00000e93 +00c00193 +3bdf1863 +800000b7 +fff08093 +ffff8137 +0020bf33 +00100e93 +00d00193 +39df1a63 +00000093 +fff00113 +0020bf33 +00100e93 +00e00193 +37df1e63 +fff00093 +00100113 +0020bf33 +00000e93 +00f00193 +37df1263 +fff00093 +fff00113 +0020bf33 +00000e93 +01000193 +35df1663 +00e00093 +00d00113 +0020b0b3 +00000e93 +01100193 +33d09a63 +00b00093 +00d00113 +0020b133 +00100e93 +01200193 +31d11e63 +00d00093 +0010b0b3 +00000e93 +01300193 +31d09463 +00000213 +00b00093 +00d00113 +0020bf33 +000f0313 +00120213 +00200293 +fe5214e3 +00100e93 +01400193 +2dd31e63 +00000213 +00e00093 +00d00113 +0020bf33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00000e93 +01500193 +2bd31663 +00000213 +00c00093 +00d00113 +0020bf33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +00100e93 +01600193 +27d31c63 +00000213 +00e00093 +00d00113 +0020bf33 +00120213 +00200293 +fe5216e3 +00000e93 +01700193 +25df1863 +00000213 +00b00093 +00d00113 +00000013 +0020bf33 +00120213 +00200293 +fe5214e3 +00100e93 +01800193 +23df1263 +00000213 +00f00093 +00d00113 +00000013 +00000013 +0020bf33 +00120213 +00200293 +fe5212e3 +00000e93 +01900193 +1fdf1a63 +00000213 +00a00093 +00000013 +00d00113 +0020bf33 +00120213 +00200293 +fe5214e3 +00100e93 +01a00193 +1ddf1463 +00000213 +01000093 +00000013 +00d00113 +00000013 +0020bf33 +00120213 +00200293 +fe5212e3 +00000e93 +01b00193 +19df1c63 +00000213 +00900093 +00000013 +00000013 +00d00113 +0020bf33 +00120213 +00200293 +fe5212e3 +00100e93 +01c00193 +17df1463 +00000213 +00d00113 +01100093 +0020bf33 +00120213 +00200293 +fe5216e3 +00000e93 +01d00193 +15df1063 +00000213 +00d00113 +00800093 +00000013 +0020bf33 +00120213 +00200293 +fe5214e3 +00100e93 +01e00193 +11df1a63 +00000213 +00d00113 +01200093 +00000013 +00000013 +0020bf33 +00120213 +00200293 +fe5212e3 +00000e93 +01f00193 +0fdf1263 +00000213 +00d00113 +00000013 +00700093 +0020bf33 +00120213 +00200293 +fe5214e3 +00100e93 +02000193 +0bdf1c63 +00000213 +00d00113 +00000013 +01300093 +00000013 +0020bf33 +00120213 +00200293 +fe5212e3 +00000e93 +02100193 +09df1463 +00000213 +00d00113 +00000013 +00000013 +00600093 +0020bf33 +00120213 +00200293 +fe5212e3 +00100e93 +02200193 +05df1c63 +fff00093 +00103133 +00100e93 +02300193 +05d11263 +fff00093 +0000b133 +00000e93 +02400193 +03d11863 +000030b3 +00000e93 +02500193 +03d09063 +01000093 +01e00113 +0020b033 +00000e93 +02600193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-sltu.verilog b/tests/isa/generated/rv32ui-p-sltu.verilog old mode 100644 new mode 100755 index 755e67c..4d62778 --- a/tests/isa/generated/rv32ui-p-sltu.verilog +++ b/tests/isa/generated/rv32ui-p-sltu.verilog @@ -1,88 +1,88 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 -33 BF 20 00 93 0E 00 00 93 01 20 00 63 1A DF 4B -93 00 10 00 13 01 10 00 33 BF 20 00 93 0E 00 00 -93 01 30 00 63 1E DF 49 93 00 30 00 13 01 70 00 -33 BF 20 00 93 0E 10 00 93 01 40 00 63 12 DF 49 -93 00 70 00 13 01 30 00 33 BF 20 00 93 0E 00 00 -93 01 50 00 63 16 DF 47 93 00 00 00 37 81 FF FF -33 BF 20 00 93 0E 10 00 93 01 60 00 63 1A DF 45 -B7 00 00 80 13 01 00 00 33 BF 20 00 93 0E 00 00 -93 01 70 00 63 1E DF 43 B7 00 00 80 37 81 FF FF -33 BF 20 00 93 0E 10 00 93 01 80 00 63 12 DF 43 -93 00 00 00 37 81 00 00 13 01 F1 FF 33 BF 20 00 -93 0E 10 00 93 01 90 00 63 14 DF 41 B7 00 00 80 -93 80 F0 FF 13 01 00 00 33 BF 20 00 93 0E 00 00 -93 01 A0 00 63 16 DF 3F B7 00 00 80 93 80 F0 FF -37 81 00 00 13 01 F1 FF 33 BF 20 00 93 0E 00 00 -93 01 B0 00 63 16 DF 3D B7 00 00 80 37 81 00 00 -13 01 F1 FF 33 BF 20 00 93 0E 00 00 93 01 C0 00 -63 18 DF 3B B7 00 00 80 93 80 F0 FF 37 81 FF FF -33 BF 20 00 93 0E 10 00 93 01 D0 00 63 1A DF 39 -93 00 00 00 13 01 F0 FF 33 BF 20 00 93 0E 10 00 -93 01 E0 00 63 1E DF 37 93 00 F0 FF 13 01 10 00 -33 BF 20 00 93 0E 00 00 93 01 F0 00 63 12 DF 37 -93 00 F0 FF 13 01 F0 FF 33 BF 20 00 93 0E 00 00 -93 01 00 01 63 16 DF 35 93 00 E0 00 13 01 D0 00 -B3 B0 20 00 93 0E 00 00 93 01 10 01 63 9A D0 33 -93 00 B0 00 13 01 D0 00 33 B1 20 00 93 0E 10 00 -93 01 20 01 63 1E D1 31 93 00 D0 00 B3 B0 10 00 -93 0E 00 00 93 01 30 01 63 94 D0 31 13 02 00 00 -93 00 B0 00 13 01 D0 00 33 BF 20 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 10 00 -93 01 40 01 63 1E D3 2D 13 02 00 00 93 00 E0 00 -13 01 D0 00 33 BF 20 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 00 00 -93 01 50 01 63 16 D3 2B 13 02 00 00 93 00 C0 00 -13 01 D0 00 33 BF 20 00 13 00 00 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE -93 0E 10 00 93 01 60 01 63 1C D3 27 13 02 00 00 -93 00 E0 00 13 01 D0 00 33 BF 20 00 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 70 01 -63 18 DF 25 13 02 00 00 93 00 B0 00 13 01 D0 00 -13 00 00 00 33 BF 20 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 10 00 93 01 80 01 63 12 DF 23 -13 02 00 00 93 00 F0 00 13 01 D0 00 13 00 00 00 -13 00 00 00 33 BF 20 00 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 00 00 93 01 90 01 63 1A DF 1F -13 02 00 00 93 00 A0 00 13 00 00 00 13 01 D0 00 -33 BF 20 00 13 02 12 00 93 02 20 00 E3 14 52 FE -93 0E 10 00 93 01 A0 01 63 14 DF 1D 13 02 00 00 -93 00 00 01 13 00 00 00 13 01 D0 00 13 00 00 00 -33 BF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 00 00 93 01 B0 01 63 1C DF 19 13 02 00 00 -93 00 90 00 13 00 00 00 13 00 00 00 13 01 D0 00 -33 BF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 10 00 93 01 C0 01 63 14 DF 17 13 02 00 00 -13 01 D0 00 93 00 10 01 33 BF 20 00 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 D0 01 -63 10 DF 15 13 02 00 00 13 01 D0 00 93 00 80 00 -13 00 00 00 33 BF 20 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 10 00 93 01 E0 01 63 1A DF 11 -13 02 00 00 13 01 D0 00 93 00 20 01 13 00 00 00 -13 00 00 00 33 BF 20 00 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 00 00 93 01 F0 01 63 12 DF 0F -13 02 00 00 13 01 D0 00 13 00 00 00 93 00 70 00 -33 BF 20 00 13 02 12 00 93 02 20 00 E3 14 52 FE -93 0E 10 00 93 01 00 02 63 1C DF 0B 13 02 00 00 -13 01 D0 00 13 00 00 00 93 00 30 01 13 00 00 00 -33 BF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 00 00 93 01 10 02 63 14 DF 09 13 02 00 00 -13 01 D0 00 13 00 00 00 13 00 00 00 93 00 60 00 -33 BF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 10 00 93 01 20 02 63 1C DF 05 93 00 F0 FF -33 31 10 00 93 0E 10 00 93 01 30 02 63 12 D1 05 -93 00 F0 FF 33 B1 00 00 93 0E 00 00 93 01 40 02 -63 18 D1 03 B3 30 00 00 93 0E 00 00 93 01 50 02 -63 90 D0 03 93 00 00 01 13 01 E0 01 33 B0 20 00 -93 0E 00 00 93 01 60 02 63 14 D0 01 63 18 30 00 -13 0D 10 00 93 0D 00 00 6F 00 00 00 13 0D 10 00 -93 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000540 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 +33 BF 20 00 93 0E 00 00 93 01 20 00 63 1A DF 4B +93 00 10 00 13 01 10 00 33 BF 20 00 93 0E 00 00 +93 01 30 00 63 1E DF 49 93 00 30 00 13 01 70 00 +33 BF 20 00 93 0E 10 00 93 01 40 00 63 12 DF 49 +93 00 70 00 13 01 30 00 33 BF 20 00 93 0E 00 00 +93 01 50 00 63 16 DF 47 93 00 00 00 37 81 FF FF +33 BF 20 00 93 0E 10 00 93 01 60 00 63 1A DF 45 +B7 00 00 80 13 01 00 00 33 BF 20 00 93 0E 00 00 +93 01 70 00 63 1E DF 43 B7 00 00 80 37 81 FF FF +33 BF 20 00 93 0E 10 00 93 01 80 00 63 12 DF 43 +93 00 00 00 37 81 00 00 13 01 F1 FF 33 BF 20 00 +93 0E 10 00 93 01 90 00 63 14 DF 41 B7 00 00 80 +93 80 F0 FF 13 01 00 00 33 BF 20 00 93 0E 00 00 +93 01 A0 00 63 16 DF 3F B7 00 00 80 93 80 F0 FF +37 81 00 00 13 01 F1 FF 33 BF 20 00 93 0E 00 00 +93 01 B0 00 63 16 DF 3D B7 00 00 80 37 81 00 00 +13 01 F1 FF 33 BF 20 00 93 0E 00 00 93 01 C0 00 +63 18 DF 3B B7 00 00 80 93 80 F0 FF 37 81 FF FF +33 BF 20 00 93 0E 10 00 93 01 D0 00 63 1A DF 39 +93 00 00 00 13 01 F0 FF 33 BF 20 00 93 0E 10 00 +93 01 E0 00 63 1E DF 37 93 00 F0 FF 13 01 10 00 +33 BF 20 00 93 0E 00 00 93 01 F0 00 63 12 DF 37 +93 00 F0 FF 13 01 F0 FF 33 BF 20 00 93 0E 00 00 +93 01 00 01 63 16 DF 35 93 00 E0 00 13 01 D0 00 +B3 B0 20 00 93 0E 00 00 93 01 10 01 63 9A D0 33 +93 00 B0 00 13 01 D0 00 33 B1 20 00 93 0E 10 00 +93 01 20 01 63 1E D1 31 93 00 D0 00 B3 B0 10 00 +93 0E 00 00 93 01 30 01 63 94 D0 31 13 02 00 00 +93 00 B0 00 13 01 D0 00 33 BF 20 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 10 00 +93 01 40 01 63 1E D3 2D 13 02 00 00 93 00 E0 00 +13 01 D0 00 33 BF 20 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 00 00 +93 01 50 01 63 16 D3 2B 13 02 00 00 93 00 C0 00 +13 01 D0 00 33 BF 20 00 13 00 00 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE +93 0E 10 00 93 01 60 01 63 1C D3 27 13 02 00 00 +93 00 E0 00 13 01 D0 00 33 BF 20 00 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 70 01 +63 18 DF 25 13 02 00 00 93 00 B0 00 13 01 D0 00 +13 00 00 00 33 BF 20 00 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 10 00 93 01 80 01 63 12 DF 23 +13 02 00 00 93 00 F0 00 13 01 D0 00 13 00 00 00 +13 00 00 00 33 BF 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 00 00 93 01 90 01 63 1A DF 1F +13 02 00 00 93 00 A0 00 13 00 00 00 13 01 D0 00 +33 BF 20 00 13 02 12 00 93 02 20 00 E3 14 52 FE +93 0E 10 00 93 01 A0 01 63 14 DF 1D 13 02 00 00 +93 00 00 01 13 00 00 00 13 01 D0 00 13 00 00 00 +33 BF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 00 00 93 01 B0 01 63 1C DF 19 13 02 00 00 +93 00 90 00 13 00 00 00 13 00 00 00 13 01 D0 00 +33 BF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 10 00 93 01 C0 01 63 14 DF 17 13 02 00 00 +13 01 D0 00 93 00 10 01 33 BF 20 00 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 0E 00 00 93 01 D0 01 +63 10 DF 15 13 02 00 00 13 01 D0 00 93 00 80 00 +13 00 00 00 33 BF 20 00 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 10 00 93 01 E0 01 63 1A DF 11 +13 02 00 00 13 01 D0 00 93 00 20 01 13 00 00 00 +13 00 00 00 33 BF 20 00 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 00 00 93 01 F0 01 63 12 DF 0F +13 02 00 00 13 01 D0 00 13 00 00 00 93 00 70 00 +33 BF 20 00 13 02 12 00 93 02 20 00 E3 14 52 FE +93 0E 10 00 93 01 00 02 63 1C DF 0B 13 02 00 00 +13 01 D0 00 13 00 00 00 93 00 30 01 13 00 00 00 +33 BF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 00 00 93 01 10 02 63 14 DF 09 13 02 00 00 +13 01 D0 00 13 00 00 00 13 00 00 00 93 00 60 00 +33 BF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 10 00 93 01 20 02 63 1C DF 05 93 00 F0 FF +33 31 10 00 93 0E 10 00 93 01 30 02 63 12 D1 05 +93 00 F0 FF 33 B1 00 00 93 0E 00 00 93 01 40 02 +63 18 D1 03 B3 30 00 00 93 0E 00 00 93 01 50 02 +63 90 D0 03 93 00 00 01 13 01 E0 01 33 B0 20 00 +93 0E 00 00 93 01 60 02 63 14 D0 01 63 18 30 00 +93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 +13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000540 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-sra b/tests/isa/generated/rv32ui-p-sra old mode 100644 new mode 100755 index dbef4a1..b3fcb03 Binary files a/tests/isa/generated/rv32ui-p-sra and b/tests/isa/generated/rv32ui-p-sra differ diff --git a/tests/isa/generated/rv32ui-p-sra.bin b/tests/isa/generated/rv32ui-p-sra.bin old mode 100644 new mode 100755 index a94ea3f..b03e67e Binary files a/tests/isa/generated/rv32ui-p-sra.bin and b/tests/isa/generated/rv32ui-p-sra.bin differ diff --git a/tests/isa/generated/rv32ui-p-sra.dump b/tests/isa/generated/rv32ui-p-sra.dump index 31c19aa..3b352ad 100644 --- a/tests/isa/generated/rv32ui-p-sra.dump +++ b/tests/isa/generated/rv32ui-p-sra.dump @@ -452,15 +452,15 @@ Disassembly of section .text.init: 5a0: 00301863 bne zero,gp,5b0 000005a4 : - 5a4: 00100d13 li s10,1 - 5a8: 00000d93 li s11,0 + 5a4: 00000d93 li s11,0 + 5a8: 00100d13 li s10,1 000005ac : 5ac: 0000006f j 5ac 000005b0 : - 5b0: 00100d13 li s10,1 - 5b4: 00100d93 li s11,1 + 5b0: 00100d93 li s11,1 + 5b4: 00100d13 li s10,1 000005b8 : 5b8: 0000006f j 5b8 @@ -473,3 +473,18 @@ Disassembly of section .tohost: 00000640 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-sra.mem b/tests/isa/generated/rv32ui-p-sra.mem new file mode 100644 index 0000000..bd13030 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-sra.mem @@ -0,0 +1,402 @@ +00000d13 +00000d93 +800000b7 +00000113 +4020df33 +80000eb7 +00200193 +59df1463 +800000b7 +00100113 +4020df33 +c0000eb7 +00300193 +57df1863 +800000b7 +00700113 +4020df33 +ff000eb7 +00400193 +55df1c63 +800000b7 +00e00113 +4020df33 +fffe0eb7 +00500193 +55df1063 +800000b7 +00108093 +01f00113 +4020df33 +fff00e93 +00600193 +53df1263 +800000b7 +fff08093 +00000113 +4020df33 +80000eb7 +fffe8e93 +00700193 +51df1263 +800000b7 +fff08093 +00100113 +4020df33 +40000eb7 +fffe8e93 +00800193 +4fdf1263 +800000b7 +fff08093 +00700113 +4020df33 +01000eb7 +fffe8e93 +00900193 +4ddf1263 +800000b7 +fff08093 +00e00113 +4020df33 +00020eb7 +fffe8e93 +00a00193 +4bdf1263 +800000b7 +fff08093 +01f00113 +4020df33 +00000e93 +00b00193 +49df1463 +818180b7 +18108093 +00000113 +4020df33 +81818eb7 +181e8e93 +00c00193 +47df1463 +818180b7 +18108093 +00100113 +4020df33 +c0c0ceb7 +0c0e8e93 +00d00193 +45df1463 +818180b7 +18108093 +00700113 +4020df33 +ff030eb7 +303e8e93 +00e00193 +43df1463 +818180b7 +18108093 +00e00113 +4020df33 +fffe0eb7 +606e8e93 +00f00193 +41df1463 +818180b7 +18108093 +01f00113 +4020df33 +fff00e93 +01000193 +3fdf1663 +818180b7 +18108093 +fc000113 +4020df33 +81818eb7 +181e8e93 +01100193 +3ddf1663 +818180b7 +18108093 +fc100113 +4020df33 +c0c0ceb7 +0c0e8e93 +01200193 +3bdf1663 +818180b7 +18108093 +fc700113 +4020df33 +ff030eb7 +303e8e93 +01300193 +39df1663 +818180b7 +18108093 +fce00113 +4020df33 +fffe0eb7 +606e8e93 +01400193 +37df1663 +818180b7 +18108093 +fff00113 +4020df33 +fff00e93 +01500193 +35df1863 +800000b7 +00700113 +4020d0b3 +ff000eb7 +01600193 +33d09c63 +800000b7 +00e00113 +4020d133 +fffe0eb7 +01700193 +33d11063 +00700093 +4010d0b3 +00000e93 +01800193 +31d09663 +00000213 +800000b7 +00700113 +4020df33 +000f0313 +00120213 +00200293 +fe5214e3 +ff000eb7 +01900193 +2fd31063 +00000213 +800000b7 +00e00113 +4020df33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +fffe0eb7 +01a00193 +2bd31863 +00000213 +800000b7 +01f00113 +4020df33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +fff00e93 +01b00193 +27d31e63 +00000213 +800000b7 +00700113 +4020df33 +00120213 +00200293 +fe5216e3 +ff000eb7 +01c00193 +25df1a63 +00000213 +800000b7 +00e00113 +00000013 +4020df33 +00120213 +00200293 +fe5214e3 +fffe0eb7 +01d00193 +23df1463 +00000213 +800000b7 +01f00113 +00000013 +00000013 +4020df33 +00120213 +00200293 +fe5212e3 +fff00e93 +01e00193 +1fdf1c63 +00000213 +800000b7 +00000013 +00700113 +4020df33 +00120213 +00200293 +fe5214e3 +ff000eb7 +01f00193 +1ddf1663 +00000213 +800000b7 +00000013 +00e00113 +00000013 +4020df33 +00120213 +00200293 +fe5212e3 +fffe0eb7 +02000193 +19df1e63 +00000213 +800000b7 +00000013 +00000013 +01f00113 +4020df33 +00120213 +00200293 +fe5212e3 +fff00e93 +02100193 +17df1663 +00000213 +00700113 +800000b7 +4020df33 +00120213 +00200293 +fe5216e3 +ff000eb7 +02200193 +15df1263 +00000213 +00e00113 +800000b7 +00000013 +4020df33 +00120213 +00200293 +fe5214e3 +fffe0eb7 +02300193 +11df1c63 +00000213 +01f00113 +800000b7 +00000013 +00000013 +4020df33 +00120213 +00200293 +fe5212e3 +fff00e93 +02400193 +0fdf1463 +00000213 +00700113 +00000013 +800000b7 +4020df33 +00120213 +00200293 +fe5214e3 +ff000eb7 +02500193 +0bdf1e63 +00000213 +00e00113 +00000013 +800000b7 +00000013 +4020df33 +00120213 +00200293 +fe5212e3 +fffe0eb7 +02600193 +09df1663 +00000213 +01f00113 +00000013 +00000013 +800000b7 +4020df33 +00120213 +00200293 +fe5212e3 +fff00e93 +02700193 +05df1e63 +00f00093 +40105133 +00000e93 +02800193 +05d11463 +02000093 +4000d133 +02000e93 +02900193 +03d11a63 +400050b3 +00000e93 +02a00193 +03d09263 +40000093 +00001137 +80010113 +4020d033 +00000e93 +02b00193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-sra.verilog b/tests/isa/generated/rv32ui-p-sra.verilog old mode 100644 new mode 100755 index 98afbb9..ec4ac17 --- a/tests/isa/generated/rv32ui-p-sra.verilog +++ b/tests/isa/generated/rv32ui-p-sra.verilog @@ -1,100 +1,100 @@ -@00000000 -13 0D 00 00 93 0D 00 00 B7 00 00 80 13 01 00 00 -33 DF 20 40 B7 0E 00 80 93 01 20 00 63 14 DF 59 -B7 00 00 80 13 01 10 00 33 DF 20 40 B7 0E 00 C0 -93 01 30 00 63 18 DF 57 B7 00 00 80 13 01 70 00 -33 DF 20 40 B7 0E 00 FF 93 01 40 00 63 1C DF 55 -B7 00 00 80 13 01 E0 00 33 DF 20 40 B7 0E FE FF -93 01 50 00 63 10 DF 55 B7 00 00 80 93 80 10 00 -13 01 F0 01 33 DF 20 40 93 0E F0 FF 93 01 60 00 -63 12 DF 53 B7 00 00 80 93 80 F0 FF 13 01 00 00 -33 DF 20 40 B7 0E 00 80 93 8E FE FF 93 01 70 00 -63 12 DF 51 B7 00 00 80 93 80 F0 FF 13 01 10 00 -33 DF 20 40 B7 0E 00 40 93 8E FE FF 93 01 80 00 -63 12 DF 4F B7 00 00 80 93 80 F0 FF 13 01 70 00 -33 DF 20 40 B7 0E 00 01 93 8E FE FF 93 01 90 00 -63 12 DF 4D B7 00 00 80 93 80 F0 FF 13 01 E0 00 -33 DF 20 40 B7 0E 02 00 93 8E FE FF 93 01 A0 00 -63 12 DF 4B B7 00 00 80 93 80 F0 FF 13 01 F0 01 -33 DF 20 40 93 0E 00 00 93 01 B0 00 63 14 DF 49 -B7 80 81 81 93 80 10 18 13 01 00 00 33 DF 20 40 -B7 8E 81 81 93 8E 1E 18 93 01 C0 00 63 14 DF 47 -B7 80 81 81 93 80 10 18 13 01 10 00 33 DF 20 40 -B7 CE C0 C0 93 8E 0E 0C 93 01 D0 00 63 14 DF 45 -B7 80 81 81 93 80 10 18 13 01 70 00 33 DF 20 40 -B7 0E 03 FF 93 8E 3E 30 93 01 E0 00 63 14 DF 43 -B7 80 81 81 93 80 10 18 13 01 E0 00 33 DF 20 40 -B7 0E FE FF 93 8E 6E 60 93 01 F0 00 63 14 DF 41 -B7 80 81 81 93 80 10 18 13 01 F0 01 33 DF 20 40 -93 0E F0 FF 93 01 00 01 63 16 DF 3F B7 80 81 81 -93 80 10 18 13 01 00 FC 33 DF 20 40 B7 8E 81 81 -93 8E 1E 18 93 01 10 01 63 16 DF 3D B7 80 81 81 -93 80 10 18 13 01 10 FC 33 DF 20 40 B7 CE C0 C0 -93 8E 0E 0C 93 01 20 01 63 16 DF 3B B7 80 81 81 -93 80 10 18 13 01 70 FC 33 DF 20 40 B7 0E 03 FF -93 8E 3E 30 93 01 30 01 63 16 DF 39 B7 80 81 81 -93 80 10 18 13 01 E0 FC 33 DF 20 40 B7 0E FE FF -93 8E 6E 60 93 01 40 01 63 16 DF 37 B7 80 81 81 -93 80 10 18 13 01 F0 FF 33 DF 20 40 93 0E F0 FF -93 01 50 01 63 18 DF 35 B7 00 00 80 13 01 70 00 -B3 D0 20 40 B7 0E 00 FF 93 01 60 01 63 9C D0 33 -B7 00 00 80 13 01 E0 00 33 D1 20 40 B7 0E FE FF -93 01 70 01 63 10 D1 33 93 00 70 00 B3 D0 10 40 -93 0E 00 00 93 01 80 01 63 96 D0 31 13 02 00 00 -B7 00 00 80 13 01 70 00 33 DF 20 40 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 14 52 FE B7 0E 00 FF -93 01 90 01 63 10 D3 2F 13 02 00 00 B7 00 00 80 -13 01 E0 00 33 DF 20 40 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 12 52 FE B7 0E FE FF -93 01 A0 01 63 18 D3 2B 13 02 00 00 B7 00 00 80 -13 01 F0 01 33 DF 20 40 13 00 00 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE -93 0E F0 FF 93 01 B0 01 63 1E D3 27 13 02 00 00 -B7 00 00 80 13 01 70 00 33 DF 20 40 13 02 12 00 -93 02 20 00 E3 16 52 FE B7 0E 00 FF 93 01 C0 01 -63 1A DF 25 13 02 00 00 B7 00 00 80 13 01 E0 00 -13 00 00 00 33 DF 20 40 13 02 12 00 93 02 20 00 -E3 14 52 FE B7 0E FE FF 93 01 D0 01 63 14 DF 23 -13 02 00 00 B7 00 00 80 13 01 F0 01 13 00 00 00 -13 00 00 00 33 DF 20 40 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E F0 FF 93 01 E0 01 63 1C DF 1F -13 02 00 00 B7 00 00 80 13 00 00 00 13 01 70 00 -33 DF 20 40 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 0E 00 FF 93 01 F0 01 63 16 DF 1D 13 02 00 00 -B7 00 00 80 13 00 00 00 13 01 E0 00 13 00 00 00 -33 DF 20 40 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 0E FE FF 93 01 00 02 63 1E DF 19 13 02 00 00 -B7 00 00 80 13 00 00 00 13 00 00 00 13 01 F0 01 -33 DF 20 40 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E F0 FF 93 01 10 02 63 16 DF 17 13 02 00 00 -13 01 70 00 B7 00 00 80 33 DF 20 40 13 02 12 00 -93 02 20 00 E3 16 52 FE B7 0E 00 FF 93 01 20 02 -63 12 DF 15 13 02 00 00 13 01 E0 00 B7 00 00 80 -13 00 00 00 33 DF 20 40 13 02 12 00 93 02 20 00 -E3 14 52 FE B7 0E FE FF 93 01 30 02 63 1C DF 11 -13 02 00 00 13 01 F0 01 B7 00 00 80 13 00 00 00 -13 00 00 00 33 DF 20 40 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E F0 FF 93 01 40 02 63 14 DF 0F -13 02 00 00 13 01 70 00 13 00 00 00 B7 00 00 80 -33 DF 20 40 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 0E 00 FF 93 01 50 02 63 1E DF 0B 13 02 00 00 -13 01 E0 00 13 00 00 00 B7 00 00 80 13 00 00 00 -33 DF 20 40 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 0E FE FF 93 01 60 02 63 16 DF 09 13 02 00 00 -13 01 F0 01 13 00 00 00 13 00 00 00 B7 00 00 80 -33 DF 20 40 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E F0 FF 93 01 70 02 63 1E DF 05 93 00 F0 00 -33 51 10 40 93 0E 00 00 93 01 80 02 63 14 D1 05 -93 00 00 02 33 D1 00 40 93 0E 00 02 93 01 90 02 -63 1A D1 03 B3 50 00 40 93 0E 00 00 93 01 A0 02 -63 92 D0 03 93 00 00 40 37 11 00 00 13 01 01 80 -33 D0 20 40 93 0E 00 00 93 01 B0 02 63 14 D0 01 -63 18 30 00 13 0D 10 00 93 0D 00 00 6F 00 00 00 -13 0D 10 00 93 0D 10 00 6F 00 00 00 00 00 00 00 -00 00 00 00 -@00000600 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 B7 00 00 80 13 01 00 00 +33 DF 20 40 B7 0E 00 80 93 01 20 00 63 14 DF 59 +B7 00 00 80 13 01 10 00 33 DF 20 40 B7 0E 00 C0 +93 01 30 00 63 18 DF 57 B7 00 00 80 13 01 70 00 +33 DF 20 40 B7 0E 00 FF 93 01 40 00 63 1C DF 55 +B7 00 00 80 13 01 E0 00 33 DF 20 40 B7 0E FE FF +93 01 50 00 63 10 DF 55 B7 00 00 80 93 80 10 00 +13 01 F0 01 33 DF 20 40 93 0E F0 FF 93 01 60 00 +63 12 DF 53 B7 00 00 80 93 80 F0 FF 13 01 00 00 +33 DF 20 40 B7 0E 00 80 93 8E FE FF 93 01 70 00 +63 12 DF 51 B7 00 00 80 93 80 F0 FF 13 01 10 00 +33 DF 20 40 B7 0E 00 40 93 8E FE FF 93 01 80 00 +63 12 DF 4F B7 00 00 80 93 80 F0 FF 13 01 70 00 +33 DF 20 40 B7 0E 00 01 93 8E FE FF 93 01 90 00 +63 12 DF 4D B7 00 00 80 93 80 F0 FF 13 01 E0 00 +33 DF 20 40 B7 0E 02 00 93 8E FE FF 93 01 A0 00 +63 12 DF 4B B7 00 00 80 93 80 F0 FF 13 01 F0 01 +33 DF 20 40 93 0E 00 00 93 01 B0 00 63 14 DF 49 +B7 80 81 81 93 80 10 18 13 01 00 00 33 DF 20 40 +B7 8E 81 81 93 8E 1E 18 93 01 C0 00 63 14 DF 47 +B7 80 81 81 93 80 10 18 13 01 10 00 33 DF 20 40 +B7 CE C0 C0 93 8E 0E 0C 93 01 D0 00 63 14 DF 45 +B7 80 81 81 93 80 10 18 13 01 70 00 33 DF 20 40 +B7 0E 03 FF 93 8E 3E 30 93 01 E0 00 63 14 DF 43 +B7 80 81 81 93 80 10 18 13 01 E0 00 33 DF 20 40 +B7 0E FE FF 93 8E 6E 60 93 01 F0 00 63 14 DF 41 +B7 80 81 81 93 80 10 18 13 01 F0 01 33 DF 20 40 +93 0E F0 FF 93 01 00 01 63 16 DF 3F B7 80 81 81 +93 80 10 18 13 01 00 FC 33 DF 20 40 B7 8E 81 81 +93 8E 1E 18 93 01 10 01 63 16 DF 3D B7 80 81 81 +93 80 10 18 13 01 10 FC 33 DF 20 40 B7 CE C0 C0 +93 8E 0E 0C 93 01 20 01 63 16 DF 3B B7 80 81 81 +93 80 10 18 13 01 70 FC 33 DF 20 40 B7 0E 03 FF +93 8E 3E 30 93 01 30 01 63 16 DF 39 B7 80 81 81 +93 80 10 18 13 01 E0 FC 33 DF 20 40 B7 0E FE FF +93 8E 6E 60 93 01 40 01 63 16 DF 37 B7 80 81 81 +93 80 10 18 13 01 F0 FF 33 DF 20 40 93 0E F0 FF +93 01 50 01 63 18 DF 35 B7 00 00 80 13 01 70 00 +B3 D0 20 40 B7 0E 00 FF 93 01 60 01 63 9C D0 33 +B7 00 00 80 13 01 E0 00 33 D1 20 40 B7 0E FE FF +93 01 70 01 63 10 D1 33 93 00 70 00 B3 D0 10 40 +93 0E 00 00 93 01 80 01 63 96 D0 31 13 02 00 00 +B7 00 00 80 13 01 70 00 33 DF 20 40 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 14 52 FE B7 0E 00 FF +93 01 90 01 63 10 D3 2F 13 02 00 00 B7 00 00 80 +13 01 E0 00 33 DF 20 40 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 12 52 FE B7 0E FE FF +93 01 A0 01 63 18 D3 2B 13 02 00 00 B7 00 00 80 +13 01 F0 01 33 DF 20 40 13 00 00 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE +93 0E F0 FF 93 01 B0 01 63 1E D3 27 13 02 00 00 +B7 00 00 80 13 01 70 00 33 DF 20 40 13 02 12 00 +93 02 20 00 E3 16 52 FE B7 0E 00 FF 93 01 C0 01 +63 1A DF 25 13 02 00 00 B7 00 00 80 13 01 E0 00 +13 00 00 00 33 DF 20 40 13 02 12 00 93 02 20 00 +E3 14 52 FE B7 0E FE FF 93 01 D0 01 63 14 DF 23 +13 02 00 00 B7 00 00 80 13 01 F0 01 13 00 00 00 +13 00 00 00 33 DF 20 40 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E F0 FF 93 01 E0 01 63 1C DF 1F +13 02 00 00 B7 00 00 80 13 00 00 00 13 01 70 00 +33 DF 20 40 13 02 12 00 93 02 20 00 E3 14 52 FE +B7 0E 00 FF 93 01 F0 01 63 16 DF 1D 13 02 00 00 +B7 00 00 80 13 00 00 00 13 01 E0 00 13 00 00 00 +33 DF 20 40 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 0E FE FF 93 01 00 02 63 1E DF 19 13 02 00 00 +B7 00 00 80 13 00 00 00 13 00 00 00 13 01 F0 01 +33 DF 20 40 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E F0 FF 93 01 10 02 63 16 DF 17 13 02 00 00 +13 01 70 00 B7 00 00 80 33 DF 20 40 13 02 12 00 +93 02 20 00 E3 16 52 FE B7 0E 00 FF 93 01 20 02 +63 12 DF 15 13 02 00 00 13 01 E0 00 B7 00 00 80 +13 00 00 00 33 DF 20 40 13 02 12 00 93 02 20 00 +E3 14 52 FE B7 0E FE FF 93 01 30 02 63 1C DF 11 +13 02 00 00 13 01 F0 01 B7 00 00 80 13 00 00 00 +13 00 00 00 33 DF 20 40 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E F0 FF 93 01 40 02 63 14 DF 0F +13 02 00 00 13 01 70 00 13 00 00 00 B7 00 00 80 +33 DF 20 40 13 02 12 00 93 02 20 00 E3 14 52 FE +B7 0E 00 FF 93 01 50 02 63 1E DF 0B 13 02 00 00 +13 01 E0 00 13 00 00 00 B7 00 00 80 13 00 00 00 +33 DF 20 40 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 0E FE FF 93 01 60 02 63 16 DF 09 13 02 00 00 +13 01 F0 01 13 00 00 00 13 00 00 00 B7 00 00 80 +33 DF 20 40 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E F0 FF 93 01 70 02 63 1E DF 05 93 00 F0 00 +33 51 10 40 93 0E 00 00 93 01 80 02 63 14 D1 05 +93 00 00 02 33 D1 00 40 93 0E 00 02 93 01 90 02 +63 1A D1 03 B3 50 00 40 93 0E 00 00 93 01 A0 02 +63 92 D0 03 93 00 00 40 37 11 00 00 13 01 01 80 +33 D0 20 40 93 0E 00 00 93 01 B0 02 63 14 D0 01 +63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00 +93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00 +00 00 00 00 +@00000600 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-srai b/tests/isa/generated/rv32ui-p-srai old mode 100644 new mode 100755 index 2a24667..a466123 Binary files a/tests/isa/generated/rv32ui-p-srai and b/tests/isa/generated/rv32ui-p-srai differ diff --git a/tests/isa/generated/rv32ui-p-srai.bin b/tests/isa/generated/rv32ui-p-srai.bin old mode 100644 new mode 100755 index 8dba9e6..c6b11bc Binary files a/tests/isa/generated/rv32ui-p-srai.bin and b/tests/isa/generated/rv32ui-p-srai.bin differ diff --git a/tests/isa/generated/rv32ui-p-srai.dump b/tests/isa/generated/rv32ui-p-srai.dump index b4a138d..158f586 100644 --- a/tests/isa/generated/rv32ui-p-srai.dump +++ b/tests/isa/generated/rv32ui-p-srai.dump @@ -231,15 +231,15 @@ Disassembly of section .text.init: 2bc: 00301863 bne zero,gp,2cc 000002c0 : - 2c0: 00100d13 li s10,1 - 2c4: 00000d93 li s11,0 + 2c0: 00000d93 li s11,0 + 2c4: 00100d13 li s10,1 000002c8 : 2c8: 0000006f j 2c8 000002cc : - 2cc: 00100d13 li s10,1 - 2d0: 00100d93 li s11,1 + 2cc: 00100d93 li s11,1 + 2d0: 00100d13 li s10,1 000002d4 : 2d4: 0000006f j 2d4 @@ -252,3 +252,18 @@ Disassembly of section .tohost: 00000380 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-srai.mem b/tests/isa/generated/rv32ui-p-srai.mem new file mode 100644 index 0000000..b3d7cf6 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-srai.mem @@ -0,0 +1,226 @@ +00000d13 +00000d93 +00000093 +4000df13 +00000e93 +00200193 +2bdf1463 +800000b7 +4010df13 +c0000eb7 +00300193 +29df1a63 +800000b7 +4070df13 +ff000eb7 +00400193 +29df1063 +800000b7 +40e0df13 +fffe0eb7 +00500193 +27df1663 +800000b7 +00108093 +41f0df13 +fff00e93 +00600193 +25df1a63 +800000b7 +fff08093 +4000df13 +80000eb7 +fffe8e93 +00700193 +23df1c63 +800000b7 +fff08093 +4010df13 +40000eb7 +fffe8e93 +00800193 +21df1e63 +800000b7 +fff08093 +4070df13 +01000eb7 +fffe8e93 +00900193 +21df1063 +800000b7 +fff08093 +40e0df13 +00020eb7 +fffe8e93 +00a00193 +1fdf1263 +800000b7 +fff08093 +41f0df13 +00000e93 +00b00193 +1ddf1663 +818180b7 +18108093 +4000df13 +81818eb7 +181e8e93 +00c00193 +1bdf1863 +818180b7 +18108093 +4010df13 +c0c0ceb7 +0c0e8e93 +00d00193 +19df1a63 +818180b7 +18108093 +4070df13 +ff030eb7 +303e8e93 +00e00193 +17df1c63 +818180b7 +18108093 +40e0df13 +fffe0eb7 +606e8e93 +00f00193 +15df1e63 +818180b7 +18108093 +41f0df13 +fff00e93 +01000193 +15df1263 +800000b7 +4070d093 +ff000eb7 +01100193 +13d09863 +00000213 +800000b7 +4070df13 +000f0313 +00120213 +00200293 +fe5216e3 +ff000eb7 +01200193 +11d31463 +00000213 +800000b7 +40e0df13 +00000013 +000f0313 +00120213 +00200293 +fe5214e3 +fffe0eb7 +01300193 +0dd31e63 +00000213 +800000b7 +00108093 +41f0df13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +fff00e93 +01400193 +0bd31463 +00000213 +800000b7 +4070df13 +00120213 +00200293 +fe5218e3 +ff000eb7 +01500193 +09df1263 +00000213 +800000b7 +00000013 +40e0df13 +00120213 +00200293 +fe5216e3 +fffe0eb7 +01600193 +05df1e63 +00000213 +800000b7 +00108093 +00000013 +00000013 +41f0df13 +00120213 +00200293 +fe5212e3 +fff00e93 +01700193 +03df1663 +40405093 +00000e93 +01800193 +01d09e63 +02100093 +40a0d013 +00000e93 +01900193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-srai.verilog b/tests/isa/generated/rv32ui-p-srai.verilog old mode 100644 new mode 100755 index 59dd888..f849661 --- a/tests/isa/generated/rv32ui-p-srai.verilog +++ b/tests/isa/generated/rv32ui-p-srai.verilog @@ -1,56 +1,56 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 DF 00 40 -93 0E 00 00 93 01 20 00 63 14 DF 2B B7 00 00 80 -13 DF 10 40 B7 0E 00 C0 93 01 30 00 63 1A DF 29 -B7 00 00 80 13 DF 70 40 B7 0E 00 FF 93 01 40 00 -63 10 DF 29 B7 00 00 80 13 DF E0 40 B7 0E FE FF -93 01 50 00 63 16 DF 27 B7 00 00 80 93 80 10 00 -13 DF F0 41 93 0E F0 FF 93 01 60 00 63 1A DF 25 -B7 00 00 80 93 80 F0 FF 13 DF 00 40 B7 0E 00 80 -93 8E FE FF 93 01 70 00 63 1C DF 23 B7 00 00 80 -93 80 F0 FF 13 DF 10 40 B7 0E 00 40 93 8E FE FF -93 01 80 00 63 1E DF 21 B7 00 00 80 93 80 F0 FF -13 DF 70 40 B7 0E 00 01 93 8E FE FF 93 01 90 00 -63 10 DF 21 B7 00 00 80 93 80 F0 FF 13 DF E0 40 -B7 0E 02 00 93 8E FE FF 93 01 A0 00 63 12 DF 1F -B7 00 00 80 93 80 F0 FF 13 DF F0 41 93 0E 00 00 -93 01 B0 00 63 16 DF 1D B7 80 81 81 93 80 10 18 -13 DF 00 40 B7 8E 81 81 93 8E 1E 18 93 01 C0 00 -63 18 DF 1B B7 80 81 81 93 80 10 18 13 DF 10 40 -B7 CE C0 C0 93 8E 0E 0C 93 01 D0 00 63 1A DF 19 -B7 80 81 81 93 80 10 18 13 DF 70 40 B7 0E 03 FF -93 8E 3E 30 93 01 E0 00 63 1C DF 17 B7 80 81 81 -93 80 10 18 13 DF E0 40 B7 0E FE FF 93 8E 6E 60 -93 01 F0 00 63 1E DF 15 B7 80 81 81 93 80 10 18 -13 DF F0 41 93 0E F0 FF 93 01 00 01 63 12 DF 15 -B7 00 00 80 93 D0 70 40 B7 0E 00 FF 93 01 10 01 -63 98 D0 13 13 02 00 00 B7 00 00 80 13 DF 70 40 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 16 52 FE -B7 0E 00 FF 93 01 20 01 63 14 D3 11 13 02 00 00 -B7 00 00 80 13 DF E0 40 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 14 52 FE B7 0E FE FF -93 01 30 01 63 1E D3 0D 13 02 00 00 B7 00 00 80 -93 80 10 00 13 DF F0 41 13 00 00 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE -93 0E F0 FF 93 01 40 01 63 14 D3 0B 13 02 00 00 -B7 00 00 80 13 DF 70 40 13 02 12 00 93 02 20 00 -E3 18 52 FE B7 0E 00 FF 93 01 50 01 63 12 DF 09 -13 02 00 00 B7 00 00 80 13 00 00 00 13 DF E0 40 -13 02 12 00 93 02 20 00 E3 16 52 FE B7 0E FE FF -93 01 60 01 63 1E DF 05 13 02 00 00 B7 00 00 80 -93 80 10 00 13 00 00 00 13 00 00 00 13 DF F0 41 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E F0 FF -93 01 70 01 63 16 DF 03 93 50 40 40 93 0E 00 00 -93 01 80 01 63 9E D0 01 93 00 10 02 13 D0 A0 40 -93 0E 00 00 93 01 90 01 63 14 D0 01 63 18 30 00 -13 0D 10 00 93 0D 00 00 6F 00 00 00 13 0D 10 00 -93 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000340 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 00 00 13 DF 00 40 +93 0E 00 00 93 01 20 00 63 14 DF 2B B7 00 00 80 +13 DF 10 40 B7 0E 00 C0 93 01 30 00 63 1A DF 29 +B7 00 00 80 13 DF 70 40 B7 0E 00 FF 93 01 40 00 +63 10 DF 29 B7 00 00 80 13 DF E0 40 B7 0E FE FF +93 01 50 00 63 16 DF 27 B7 00 00 80 93 80 10 00 +13 DF F0 41 93 0E F0 FF 93 01 60 00 63 1A DF 25 +B7 00 00 80 93 80 F0 FF 13 DF 00 40 B7 0E 00 80 +93 8E FE FF 93 01 70 00 63 1C DF 23 B7 00 00 80 +93 80 F0 FF 13 DF 10 40 B7 0E 00 40 93 8E FE FF +93 01 80 00 63 1E DF 21 B7 00 00 80 93 80 F0 FF +13 DF 70 40 B7 0E 00 01 93 8E FE FF 93 01 90 00 +63 10 DF 21 B7 00 00 80 93 80 F0 FF 13 DF E0 40 +B7 0E 02 00 93 8E FE FF 93 01 A0 00 63 12 DF 1F +B7 00 00 80 93 80 F0 FF 13 DF F0 41 93 0E 00 00 +93 01 B0 00 63 16 DF 1D B7 80 81 81 93 80 10 18 +13 DF 00 40 B7 8E 81 81 93 8E 1E 18 93 01 C0 00 +63 18 DF 1B B7 80 81 81 93 80 10 18 13 DF 10 40 +B7 CE C0 C0 93 8E 0E 0C 93 01 D0 00 63 1A DF 19 +B7 80 81 81 93 80 10 18 13 DF 70 40 B7 0E 03 FF +93 8E 3E 30 93 01 E0 00 63 1C DF 17 B7 80 81 81 +93 80 10 18 13 DF E0 40 B7 0E FE FF 93 8E 6E 60 +93 01 F0 00 63 1E DF 15 B7 80 81 81 93 80 10 18 +13 DF F0 41 93 0E F0 FF 93 01 00 01 63 12 DF 15 +B7 00 00 80 93 D0 70 40 B7 0E 00 FF 93 01 10 01 +63 98 D0 13 13 02 00 00 B7 00 00 80 13 DF 70 40 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 16 52 FE +B7 0E 00 FF 93 01 20 01 63 14 D3 11 13 02 00 00 +B7 00 00 80 13 DF E0 40 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 14 52 FE B7 0E FE FF +93 01 30 01 63 1E D3 0D 13 02 00 00 B7 00 00 80 +93 80 10 00 13 DF F0 41 13 00 00 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE +93 0E F0 FF 93 01 40 01 63 14 D3 0B 13 02 00 00 +B7 00 00 80 13 DF 70 40 13 02 12 00 93 02 20 00 +E3 18 52 FE B7 0E 00 FF 93 01 50 01 63 12 DF 09 +13 02 00 00 B7 00 00 80 13 00 00 00 13 DF E0 40 +13 02 12 00 93 02 20 00 E3 16 52 FE B7 0E FE FF +93 01 60 01 63 1E DF 05 13 02 00 00 B7 00 00 80 +93 80 10 00 13 00 00 00 13 00 00 00 13 DF F0 41 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E F0 FF +93 01 70 01 63 16 DF 03 93 50 40 40 93 0E 00 00 +93 01 80 01 63 9E D0 01 93 00 10 02 13 D0 A0 40 +93 0E 00 00 93 01 90 01 63 14 D0 01 63 18 30 00 +93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 +13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000340 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-srl b/tests/isa/generated/rv32ui-p-srl old mode 100644 new mode 100755 index 886aac3..953c81e Binary files a/tests/isa/generated/rv32ui-p-srl and b/tests/isa/generated/rv32ui-p-srl differ diff --git a/tests/isa/generated/rv32ui-p-srl.bin b/tests/isa/generated/rv32ui-p-srl.bin old mode 100644 new mode 100755 index a2bd30b..a132403 Binary files a/tests/isa/generated/rv32ui-p-srl.bin and b/tests/isa/generated/rv32ui-p-srl.bin differ diff --git a/tests/isa/generated/rv32ui-p-srl.dump b/tests/isa/generated/rv32ui-p-srl.dump index 42fb494..7efa8e1 100644 --- a/tests/isa/generated/rv32ui-p-srl.dump +++ b/tests/isa/generated/rv32ui-p-srl.dump @@ -446,15 +446,15 @@ Disassembly of section .text.init: 588: 00301863 bne zero,gp,598 0000058c : - 58c: 00100d13 li s10,1 - 590: 00000d93 li s11,0 + 58c: 00000d93 li s11,0 + 590: 00100d13 li s10,1 00000594 : 594: 0000006f j 594 00000598 : - 598: 00100d13 li s10,1 - 59c: 00100d93 li s11,1 + 598: 00100d93 li s11,1 + 59c: 00100d13 li s10,1 000005a0 : 5a0: 0000006f j 5a0 @@ -467,3 +467,18 @@ Disassembly of section .tohost: 00000640 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-srl.mem b/tests/isa/generated/rv32ui-p-srl.mem new file mode 100644 index 0000000..e4c8a83 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-srl.mem @@ -0,0 +1,402 @@ +00000d13 +00000d93 +800000b7 +00000113 +0020df33 +80000eb7 +00200193 +57df1863 +800000b7 +00100113 +0020df33 +40000eb7 +00300193 +55df1c63 +800000b7 +00700113 +0020df33 +01000eb7 +00400193 +55df1063 +800000b7 +00e00113 +0020df33 +00020eb7 +00500193 +53df1463 +800000b7 +00108093 +01f00113 +0020df33 +00100e93 +00600193 +51df1663 +fff00093 +00000113 +0020df33 +fff00e93 +00700193 +4fdf1a63 +fff00093 +00100113 +0020df33 +80000eb7 +fffe8e93 +00800193 +4ddf1c63 +fff00093 +00700113 +0020df33 +02000eb7 +fffe8e93 +00900193 +4bdf1e63 +fff00093 +00e00113 +0020df33 +00040eb7 +fffe8e93 +00a00193 +4bdf1063 +fff00093 +01f00113 +0020df33 +00100e93 +00b00193 +49df1463 +212120b7 +12108093 +00000113 +0020df33 +21212eb7 +121e8e93 +00c00193 +47df1463 +212120b7 +12108093 +00100113 +0020df33 +10909eb7 +090e8e93 +00d00193 +45df1463 +212120b7 +12108093 +00700113 +0020df33 +00424eb7 +242e8e93 +00e00193 +43df1463 +212120b7 +12108093 +00e00113 +0020df33 +00008eb7 +484e8e93 +00f00193 +41df1463 +212120b7 +12108093 +01f00113 +0020df33 +00000e93 +01000193 +3fdf1663 +212120b7 +12108093 +fc000113 +0020df33 +21212eb7 +121e8e93 +01100193 +3ddf1663 +212120b7 +12108093 +fc100113 +0020df33 +10909eb7 +090e8e93 +01200193 +3bdf1663 +212120b7 +12108093 +fc700113 +0020df33 +00424eb7 +242e8e93 +01300193 +39df1663 +212120b7 +12108093 +fce00113 +0020df33 +00008eb7 +484e8e93 +01400193 +37df1663 +212120b7 +12108093 +fff00113 +0020df33 +00000e93 +01500193 +35df1863 +800000b7 +00700113 +0020d0b3 +01000eb7 +01600193 +33d09c63 +800000b7 +00e00113 +0020d133 +00020eb7 +01700193 +33d11063 +00700093 +0010d0b3 +00000e93 +01800193 +31d09663 +00000213 +800000b7 +00700113 +0020df33 +000f0313 +00120213 +00200293 +fe5214e3 +01000eb7 +01900193 +2fd31063 +00000213 +800000b7 +00e00113 +0020df33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00020eb7 +01a00193 +2bd31863 +00000213 +800000b7 +01f00113 +0020df33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +00100e93 +01b00193 +27d31e63 +00000213 +800000b7 +00700113 +0020df33 +00120213 +00200293 +fe5216e3 +01000eb7 +01c00193 +25df1a63 +00000213 +800000b7 +00e00113 +00000013 +0020df33 +00120213 +00200293 +fe5214e3 +00020eb7 +01d00193 +23df1463 +00000213 +800000b7 +01f00113 +00000013 +00000013 +0020df33 +00120213 +00200293 +fe5212e3 +00100e93 +01e00193 +1fdf1c63 +00000213 +800000b7 +00000013 +00700113 +0020df33 +00120213 +00200293 +fe5214e3 +01000eb7 +01f00193 +1ddf1663 +00000213 +800000b7 +00000013 +00e00113 +00000013 +0020df33 +00120213 +00200293 +fe5212e3 +00020eb7 +02000193 +19df1e63 +00000213 +800000b7 +00000013 +00000013 +01f00113 +0020df33 +00120213 +00200293 +fe5212e3 +00100e93 +02100193 +17df1663 +00000213 +00700113 +800000b7 +0020df33 +00120213 +00200293 +fe5216e3 +01000eb7 +02200193 +15df1263 +00000213 +00e00113 +800000b7 +00000013 +0020df33 +00120213 +00200293 +fe5214e3 +00020eb7 +02300193 +11df1c63 +00000213 +01f00113 +800000b7 +00000013 +00000013 +0020df33 +00120213 +00200293 +fe5212e3 +00100e93 +02400193 +0fdf1463 +00000213 +00700113 +00000013 +800000b7 +0020df33 +00120213 +00200293 +fe5214e3 +01000eb7 +02500193 +0bdf1e63 +00000213 +00e00113 +00000013 +800000b7 +00000013 +0020df33 +00120213 +00200293 +fe5212e3 +00020eb7 +02600193 +09df1663 +00000213 +01f00113 +00000013 +00000013 +800000b7 +0020df33 +00120213 +00200293 +fe5212e3 +00100e93 +02700193 +05df1e63 +00f00093 +00105133 +00000e93 +02800193 +05d11463 +02000093 +0000d133 +02000e93 +02900193 +03d11a63 +000050b3 +00000e93 +02a00193 +03d09263 +40000093 +00001137 +80010113 +0020d033 +00000e93 +02b00193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-srl.verilog b/tests/isa/generated/rv32ui-p-srl.verilog old mode 100644 new mode 100755 index 8d60c38..cbe240e --- a/tests/isa/generated/rv32ui-p-srl.verilog +++ b/tests/isa/generated/rv32ui-p-srl.verilog @@ -1,100 +1,100 @@ -@00000000 -13 0D 00 00 93 0D 00 00 B7 00 00 80 13 01 00 00 -33 DF 20 00 B7 0E 00 80 93 01 20 00 63 18 DF 57 -B7 00 00 80 13 01 10 00 33 DF 20 00 B7 0E 00 40 -93 01 30 00 63 1C DF 55 B7 00 00 80 13 01 70 00 -33 DF 20 00 B7 0E 00 01 93 01 40 00 63 10 DF 55 -B7 00 00 80 13 01 E0 00 33 DF 20 00 B7 0E 02 00 -93 01 50 00 63 14 DF 53 B7 00 00 80 93 80 10 00 -13 01 F0 01 33 DF 20 00 93 0E 10 00 93 01 60 00 -63 16 DF 51 93 00 F0 FF 13 01 00 00 33 DF 20 00 -93 0E F0 FF 93 01 70 00 63 1A DF 4F 93 00 F0 FF -13 01 10 00 33 DF 20 00 B7 0E 00 80 93 8E FE FF -93 01 80 00 63 1C DF 4D 93 00 F0 FF 13 01 70 00 -33 DF 20 00 B7 0E 00 02 93 8E FE FF 93 01 90 00 -63 1E DF 4B 93 00 F0 FF 13 01 E0 00 33 DF 20 00 -B7 0E 04 00 93 8E FE FF 93 01 A0 00 63 10 DF 4B -93 00 F0 FF 13 01 F0 01 33 DF 20 00 93 0E 10 00 -93 01 B0 00 63 14 DF 49 B7 20 21 21 93 80 10 12 -13 01 00 00 33 DF 20 00 B7 2E 21 21 93 8E 1E 12 -93 01 C0 00 63 14 DF 47 B7 20 21 21 93 80 10 12 -13 01 10 00 33 DF 20 00 B7 9E 90 10 93 8E 0E 09 -93 01 D0 00 63 14 DF 45 B7 20 21 21 93 80 10 12 -13 01 70 00 33 DF 20 00 B7 4E 42 00 93 8E 2E 24 -93 01 E0 00 63 14 DF 43 B7 20 21 21 93 80 10 12 -13 01 E0 00 33 DF 20 00 B7 8E 00 00 93 8E 4E 48 -93 01 F0 00 63 14 DF 41 B7 20 21 21 93 80 10 12 -13 01 F0 01 33 DF 20 00 93 0E 00 00 93 01 00 01 -63 16 DF 3F B7 20 21 21 93 80 10 12 13 01 00 FC -33 DF 20 00 B7 2E 21 21 93 8E 1E 12 93 01 10 01 -63 16 DF 3D B7 20 21 21 93 80 10 12 13 01 10 FC -33 DF 20 00 B7 9E 90 10 93 8E 0E 09 93 01 20 01 -63 16 DF 3B B7 20 21 21 93 80 10 12 13 01 70 FC -33 DF 20 00 B7 4E 42 00 93 8E 2E 24 93 01 30 01 -63 16 DF 39 B7 20 21 21 93 80 10 12 13 01 E0 FC -33 DF 20 00 B7 8E 00 00 93 8E 4E 48 93 01 40 01 -63 16 DF 37 B7 20 21 21 93 80 10 12 13 01 F0 FF -33 DF 20 00 93 0E 00 00 93 01 50 01 63 18 DF 35 -B7 00 00 80 13 01 70 00 B3 D0 20 00 B7 0E 00 01 -93 01 60 01 63 9C D0 33 B7 00 00 80 13 01 E0 00 -33 D1 20 00 B7 0E 02 00 93 01 70 01 63 10 D1 33 -93 00 70 00 B3 D0 10 00 93 0E 00 00 93 01 80 01 -63 96 D0 31 13 02 00 00 B7 00 00 80 13 01 70 00 -33 DF 20 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 14 52 FE B7 0E 00 01 93 01 90 01 63 10 D3 2F -13 02 00 00 B7 00 00 80 13 01 E0 00 33 DF 20 00 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 0E 02 00 93 01 A0 01 63 18 D3 2B -13 02 00 00 B7 00 00 80 13 01 F0 01 33 DF 20 00 -13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 -93 02 20 00 E3 10 52 FE 93 0E 10 00 93 01 B0 01 -63 1E D3 27 13 02 00 00 B7 00 00 80 13 01 70 00 -33 DF 20 00 13 02 12 00 93 02 20 00 E3 16 52 FE -B7 0E 00 01 93 01 C0 01 63 1A DF 25 13 02 00 00 -B7 00 00 80 13 01 E0 00 13 00 00 00 33 DF 20 00 -13 02 12 00 93 02 20 00 E3 14 52 FE B7 0E 02 00 -93 01 D0 01 63 14 DF 23 13 02 00 00 B7 00 00 80 -13 01 F0 01 13 00 00 00 13 00 00 00 33 DF 20 00 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 10 00 -93 01 E0 01 63 1C DF 1F 13 02 00 00 B7 00 00 80 -13 00 00 00 13 01 70 00 33 DF 20 00 13 02 12 00 -93 02 20 00 E3 14 52 FE B7 0E 00 01 93 01 F0 01 -63 16 DF 1D 13 02 00 00 B7 00 00 80 13 00 00 00 -13 01 E0 00 13 00 00 00 33 DF 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 0E 02 00 93 01 00 02 -63 1E DF 19 13 02 00 00 B7 00 00 80 13 00 00 00 -13 00 00 00 13 01 F0 01 33 DF 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E 10 00 93 01 10 02 -63 16 DF 17 13 02 00 00 13 01 70 00 B7 00 00 80 -33 DF 20 00 13 02 12 00 93 02 20 00 E3 16 52 FE -B7 0E 00 01 93 01 20 02 63 12 DF 15 13 02 00 00 -13 01 E0 00 B7 00 00 80 13 00 00 00 33 DF 20 00 -13 02 12 00 93 02 20 00 E3 14 52 FE B7 0E 02 00 -93 01 30 02 63 1C DF 11 13 02 00 00 13 01 F0 01 -B7 00 00 80 13 00 00 00 13 00 00 00 33 DF 20 00 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 10 00 -93 01 40 02 63 14 DF 0F 13 02 00 00 13 01 70 00 -13 00 00 00 B7 00 00 80 33 DF 20 00 13 02 12 00 -93 02 20 00 E3 14 52 FE B7 0E 00 01 93 01 50 02 -63 1E DF 0B 13 02 00 00 13 01 E0 00 13 00 00 00 -B7 00 00 80 13 00 00 00 33 DF 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 0E 02 00 93 01 60 02 -63 16 DF 09 13 02 00 00 13 01 F0 01 13 00 00 00 -13 00 00 00 B7 00 00 80 33 DF 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E 10 00 93 01 70 02 -63 1E DF 05 93 00 F0 00 33 51 10 00 93 0E 00 00 -93 01 80 02 63 14 D1 05 93 00 00 02 33 D1 00 00 -93 0E 00 02 93 01 90 02 63 1A D1 03 B3 50 00 00 -93 0E 00 00 93 01 A0 02 63 92 D0 03 93 00 00 40 -37 11 00 00 13 01 01 80 33 D0 20 00 93 0E 00 00 -93 01 B0 02 63 14 D0 01 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000600 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 B7 00 00 80 13 01 00 00 +33 DF 20 00 B7 0E 00 80 93 01 20 00 63 18 DF 57 +B7 00 00 80 13 01 10 00 33 DF 20 00 B7 0E 00 40 +93 01 30 00 63 1C DF 55 B7 00 00 80 13 01 70 00 +33 DF 20 00 B7 0E 00 01 93 01 40 00 63 10 DF 55 +B7 00 00 80 13 01 E0 00 33 DF 20 00 B7 0E 02 00 +93 01 50 00 63 14 DF 53 B7 00 00 80 93 80 10 00 +13 01 F0 01 33 DF 20 00 93 0E 10 00 93 01 60 00 +63 16 DF 51 93 00 F0 FF 13 01 00 00 33 DF 20 00 +93 0E F0 FF 93 01 70 00 63 1A DF 4F 93 00 F0 FF +13 01 10 00 33 DF 20 00 B7 0E 00 80 93 8E FE FF +93 01 80 00 63 1C DF 4D 93 00 F0 FF 13 01 70 00 +33 DF 20 00 B7 0E 00 02 93 8E FE FF 93 01 90 00 +63 1E DF 4B 93 00 F0 FF 13 01 E0 00 33 DF 20 00 +B7 0E 04 00 93 8E FE FF 93 01 A0 00 63 10 DF 4B +93 00 F0 FF 13 01 F0 01 33 DF 20 00 93 0E 10 00 +93 01 B0 00 63 14 DF 49 B7 20 21 21 93 80 10 12 +13 01 00 00 33 DF 20 00 B7 2E 21 21 93 8E 1E 12 +93 01 C0 00 63 14 DF 47 B7 20 21 21 93 80 10 12 +13 01 10 00 33 DF 20 00 B7 9E 90 10 93 8E 0E 09 +93 01 D0 00 63 14 DF 45 B7 20 21 21 93 80 10 12 +13 01 70 00 33 DF 20 00 B7 4E 42 00 93 8E 2E 24 +93 01 E0 00 63 14 DF 43 B7 20 21 21 93 80 10 12 +13 01 E0 00 33 DF 20 00 B7 8E 00 00 93 8E 4E 48 +93 01 F0 00 63 14 DF 41 B7 20 21 21 93 80 10 12 +13 01 F0 01 33 DF 20 00 93 0E 00 00 93 01 00 01 +63 16 DF 3F B7 20 21 21 93 80 10 12 13 01 00 FC +33 DF 20 00 B7 2E 21 21 93 8E 1E 12 93 01 10 01 +63 16 DF 3D B7 20 21 21 93 80 10 12 13 01 10 FC +33 DF 20 00 B7 9E 90 10 93 8E 0E 09 93 01 20 01 +63 16 DF 3B B7 20 21 21 93 80 10 12 13 01 70 FC +33 DF 20 00 B7 4E 42 00 93 8E 2E 24 93 01 30 01 +63 16 DF 39 B7 20 21 21 93 80 10 12 13 01 E0 FC +33 DF 20 00 B7 8E 00 00 93 8E 4E 48 93 01 40 01 +63 16 DF 37 B7 20 21 21 93 80 10 12 13 01 F0 FF +33 DF 20 00 93 0E 00 00 93 01 50 01 63 18 DF 35 +B7 00 00 80 13 01 70 00 B3 D0 20 00 B7 0E 00 01 +93 01 60 01 63 9C D0 33 B7 00 00 80 13 01 E0 00 +33 D1 20 00 B7 0E 02 00 93 01 70 01 63 10 D1 33 +93 00 70 00 B3 D0 10 00 93 0E 00 00 93 01 80 01 +63 96 D0 31 13 02 00 00 B7 00 00 80 13 01 70 00 +33 DF 20 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 14 52 FE B7 0E 00 01 93 01 90 01 63 10 D3 2F +13 02 00 00 B7 00 00 80 13 01 E0 00 33 DF 20 00 +13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 0E 02 00 93 01 A0 01 63 18 D3 2B +13 02 00 00 B7 00 00 80 13 01 F0 01 33 DF 20 00 +13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 10 52 FE 93 0E 10 00 93 01 B0 01 +63 1E D3 27 13 02 00 00 B7 00 00 80 13 01 70 00 +33 DF 20 00 13 02 12 00 93 02 20 00 E3 16 52 FE +B7 0E 00 01 93 01 C0 01 63 1A DF 25 13 02 00 00 +B7 00 00 80 13 01 E0 00 13 00 00 00 33 DF 20 00 +13 02 12 00 93 02 20 00 E3 14 52 FE B7 0E 02 00 +93 01 D0 01 63 14 DF 23 13 02 00 00 B7 00 00 80 +13 01 F0 01 13 00 00 00 13 00 00 00 33 DF 20 00 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 10 00 +93 01 E0 01 63 1C DF 1F 13 02 00 00 B7 00 00 80 +13 00 00 00 13 01 70 00 33 DF 20 00 13 02 12 00 +93 02 20 00 E3 14 52 FE B7 0E 00 01 93 01 F0 01 +63 16 DF 1D 13 02 00 00 B7 00 00 80 13 00 00 00 +13 01 E0 00 13 00 00 00 33 DF 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 0E 02 00 93 01 00 02 +63 1E DF 19 13 02 00 00 B7 00 00 80 13 00 00 00 +13 00 00 00 13 01 F0 01 33 DF 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E 10 00 93 01 10 02 +63 16 DF 17 13 02 00 00 13 01 70 00 B7 00 00 80 +33 DF 20 00 13 02 12 00 93 02 20 00 E3 16 52 FE +B7 0E 00 01 93 01 20 02 63 12 DF 15 13 02 00 00 +13 01 E0 00 B7 00 00 80 13 00 00 00 33 DF 20 00 +13 02 12 00 93 02 20 00 E3 14 52 FE B7 0E 02 00 +93 01 30 02 63 1C DF 11 13 02 00 00 13 01 F0 01 +B7 00 00 80 13 00 00 00 13 00 00 00 33 DF 20 00 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 10 00 +93 01 40 02 63 14 DF 0F 13 02 00 00 13 01 70 00 +13 00 00 00 B7 00 00 80 33 DF 20 00 13 02 12 00 +93 02 20 00 E3 14 52 FE B7 0E 00 01 93 01 50 02 +63 1E DF 0B 13 02 00 00 13 01 E0 00 13 00 00 00 +B7 00 00 80 13 00 00 00 33 DF 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 0E 02 00 93 01 60 02 +63 16 DF 09 13 02 00 00 13 01 F0 01 13 00 00 00 +13 00 00 00 B7 00 00 80 33 DF 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E 10 00 93 01 70 02 +63 1E DF 05 93 00 F0 00 33 51 10 00 93 0E 00 00 +93 01 80 02 63 14 D1 05 93 00 00 02 33 D1 00 00 +93 0E 00 02 93 01 90 02 63 1A D1 03 B3 50 00 00 +93 0E 00 00 93 01 A0 02 63 92 D0 03 93 00 00 40 +37 11 00 00 13 01 01 80 33 D0 20 00 93 0E 00 00 +93 01 B0 02 63 14 D0 01 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000600 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-srli b/tests/isa/generated/rv32ui-p-srli old mode 100644 new mode 100755 index 6a59f97..e2a2741 Binary files a/tests/isa/generated/rv32ui-p-srli and b/tests/isa/generated/rv32ui-p-srli differ diff --git a/tests/isa/generated/rv32ui-p-srli.bin b/tests/isa/generated/rv32ui-p-srli.bin old mode 100644 new mode 100755 index 03d976d..73a3b96 Binary files a/tests/isa/generated/rv32ui-p-srli.bin and b/tests/isa/generated/rv32ui-p-srli.bin differ diff --git a/tests/isa/generated/rv32ui-p-srli.dump b/tests/isa/generated/rv32ui-p-srli.dump index 06328a8..1ae609f 100644 --- a/tests/isa/generated/rv32ui-p-srli.dump +++ b/tests/isa/generated/rv32ui-p-srli.dump @@ -225,15 +225,15 @@ Disassembly of section .text.init: 2a4: 00301863 bne zero,gp,2b4 000002a8 : - 2a8: 00100d13 li s10,1 - 2ac: 00000d93 li s11,0 + 2a8: 00000d93 li s11,0 + 2ac: 00100d13 li s10,1 000002b0 : 2b0: 0000006f j 2b0 000002b4 : - 2b4: 00100d13 li s10,1 - 2b8: 00100d93 li s11,1 + 2b4: 00100d93 li s11,1 + 2b8: 00100d13 li s10,1 000002bc : 2bc: 0000006f j 2bc @@ -247,3 +247,18 @@ Disassembly of section .tohost: 00000340 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-srli.mem b/tests/isa/generated/rv32ui-p-srli.mem new file mode 100644 index 0000000..6f772a2 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-srli.mem @@ -0,0 +1,210 @@ +00000d13 +00000d93 +800000b7 +0000df13 +80000eb7 +00200193 +29df1863 +800000b7 +0010df13 +40000eb7 +00300193 +27df1e63 +800000b7 +0070df13 +01000eb7 +00400193 +27df1463 +800000b7 +00e0df13 +00020eb7 +00500193 +25df1a63 +800000b7 +00108093 +01f0df13 +00100e93 +00600193 +23df1e63 +fff00093 +0000df13 +fff00e93 +00700193 +23df1463 +fff00093 +0010df13 +80000eb7 +fffe8e93 +00800193 +21df1863 +fff00093 +0070df13 +02000eb7 +fffe8e93 +00900193 +1fdf1c63 +fff00093 +00e0df13 +00040eb7 +fffe8e93 +00a00193 +1fdf1063 +fff00093 +01f0df13 +00100e93 +00b00193 +1ddf1663 +212120b7 +12108093 +0000df13 +21212eb7 +121e8e93 +00c00193 +1bdf1863 +212120b7 +12108093 +0010df13 +10909eb7 +090e8e93 +00d00193 +19df1a63 +212120b7 +12108093 +0070df13 +00424eb7 +242e8e93 +00e00193 +17df1c63 +212120b7 +12108093 +00e0df13 +00008eb7 +484e8e93 +00f00193 +15df1e63 +212120b7 +12108093 +01f0df13 +00000e93 +01000193 +15df1263 +800000b7 +0070d093 +01000eb7 +01100193 +13d09863 +00000213 +800000b7 +0070df13 +000f0313 +00120213 +00200293 +fe5216e3 +01000eb7 +01200193 +11d31463 +00000213 +800000b7 +00e0df13 +00000013 +000f0313 +00120213 +00200293 +fe5214e3 +00020eb7 +01300193 +0dd31e63 +00000213 +800000b7 +00108093 +01f0df13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +00100e93 +01400193 +0bd31463 +00000213 +800000b7 +0070df13 +00120213 +00200293 +fe5218e3 +01000eb7 +01500193 +09df1263 +00000213 +800000b7 +00000013 +00e0df13 +00120213 +00200293 +fe5216e3 +00020eb7 +01600193 +05df1e63 +00000213 +800000b7 +00108093 +00000013 +00000013 +01f0df13 +00120213 +00200293 +fe5212e3 +00100e93 +01700193 +03df1663 +00405093 +00000e93 +01800193 +01d09e63 +02100093 +00a0d013 +00000e93 +01900193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-srli.verilog b/tests/isa/generated/rv32ui-p-srli.verilog old mode 100644 new mode 100755 index 9558f1d..522b3a9 --- a/tests/isa/generated/rv32ui-p-srli.verilog +++ b/tests/isa/generated/rv32ui-p-srli.verilog @@ -1,52 +1,52 @@ -@00000000 -13 0D 00 00 93 0D 00 00 B7 00 00 80 13 DF 00 00 -B7 0E 00 80 93 01 20 00 63 18 DF 29 B7 00 00 80 -13 DF 10 00 B7 0E 00 40 93 01 30 00 63 1E DF 27 -B7 00 00 80 13 DF 70 00 B7 0E 00 01 93 01 40 00 -63 14 DF 27 B7 00 00 80 13 DF E0 00 B7 0E 02 00 -93 01 50 00 63 1A DF 25 B7 00 00 80 93 80 10 00 -13 DF F0 01 93 0E 10 00 93 01 60 00 63 1E DF 23 -93 00 F0 FF 13 DF 00 00 93 0E F0 FF 93 01 70 00 -63 14 DF 23 93 00 F0 FF 13 DF 10 00 B7 0E 00 80 -93 8E FE FF 93 01 80 00 63 18 DF 21 93 00 F0 FF -13 DF 70 00 B7 0E 00 02 93 8E FE FF 93 01 90 00 -63 1C DF 1F 93 00 F0 FF 13 DF E0 00 B7 0E 04 00 -93 8E FE FF 93 01 A0 00 63 10 DF 1F 93 00 F0 FF -13 DF F0 01 93 0E 10 00 93 01 B0 00 63 16 DF 1D -B7 20 21 21 93 80 10 12 13 DF 00 00 B7 2E 21 21 -93 8E 1E 12 93 01 C0 00 63 18 DF 1B B7 20 21 21 -93 80 10 12 13 DF 10 00 B7 9E 90 10 93 8E 0E 09 -93 01 D0 00 63 1A DF 19 B7 20 21 21 93 80 10 12 -13 DF 70 00 B7 4E 42 00 93 8E 2E 24 93 01 E0 00 -63 1C DF 17 B7 20 21 21 93 80 10 12 13 DF E0 00 -B7 8E 00 00 93 8E 4E 48 93 01 F0 00 63 1E DF 15 -B7 20 21 21 93 80 10 12 13 DF F0 01 93 0E 00 00 -93 01 00 01 63 12 DF 15 B7 00 00 80 93 D0 70 00 -B7 0E 00 01 93 01 10 01 63 98 D0 13 13 02 00 00 -B7 00 00 80 13 DF 70 00 13 03 0F 00 13 02 12 00 -93 02 20 00 E3 16 52 FE B7 0E 00 01 93 01 20 01 -63 14 D3 11 13 02 00 00 B7 00 00 80 13 DF E0 00 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 14 52 FE B7 0E 02 00 93 01 30 01 63 1E D3 0D -13 02 00 00 B7 00 00 80 93 80 10 00 13 DF F0 01 -13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 -93 02 20 00 E3 10 52 FE 93 0E 10 00 93 01 40 01 -63 14 D3 0B 13 02 00 00 B7 00 00 80 13 DF 70 00 -13 02 12 00 93 02 20 00 E3 18 52 FE B7 0E 00 01 -93 01 50 01 63 12 DF 09 13 02 00 00 B7 00 00 80 -13 00 00 00 13 DF E0 00 13 02 12 00 93 02 20 00 -E3 16 52 FE B7 0E 02 00 93 01 60 01 63 1E DF 05 -13 02 00 00 B7 00 00 80 93 80 10 00 13 00 00 00 -13 00 00 00 13 DF F0 01 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 10 00 93 01 70 01 63 16 DF 03 -93 50 40 00 93 0E 00 00 93 01 80 01 63 9E D0 01 -93 00 10 02 13 D0 A0 00 93 0E 00 00 93 01 90 01 -63 14 D0 01 63 18 30 00 13 0D 10 00 93 0D 00 00 -6F 00 00 00 13 0D 10 00 93 0D 10 00 6F 00 00 00 -00 00 00 00 -@00000300 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 B7 00 00 80 13 DF 00 00 +B7 0E 00 80 93 01 20 00 63 18 DF 29 B7 00 00 80 +13 DF 10 00 B7 0E 00 40 93 01 30 00 63 1E DF 27 +B7 00 00 80 13 DF 70 00 B7 0E 00 01 93 01 40 00 +63 14 DF 27 B7 00 00 80 13 DF E0 00 B7 0E 02 00 +93 01 50 00 63 1A DF 25 B7 00 00 80 93 80 10 00 +13 DF F0 01 93 0E 10 00 93 01 60 00 63 1E DF 23 +93 00 F0 FF 13 DF 00 00 93 0E F0 FF 93 01 70 00 +63 14 DF 23 93 00 F0 FF 13 DF 10 00 B7 0E 00 80 +93 8E FE FF 93 01 80 00 63 18 DF 21 93 00 F0 FF +13 DF 70 00 B7 0E 00 02 93 8E FE FF 93 01 90 00 +63 1C DF 1F 93 00 F0 FF 13 DF E0 00 B7 0E 04 00 +93 8E FE FF 93 01 A0 00 63 10 DF 1F 93 00 F0 FF +13 DF F0 01 93 0E 10 00 93 01 B0 00 63 16 DF 1D +B7 20 21 21 93 80 10 12 13 DF 00 00 B7 2E 21 21 +93 8E 1E 12 93 01 C0 00 63 18 DF 1B B7 20 21 21 +93 80 10 12 13 DF 10 00 B7 9E 90 10 93 8E 0E 09 +93 01 D0 00 63 1A DF 19 B7 20 21 21 93 80 10 12 +13 DF 70 00 B7 4E 42 00 93 8E 2E 24 93 01 E0 00 +63 1C DF 17 B7 20 21 21 93 80 10 12 13 DF E0 00 +B7 8E 00 00 93 8E 4E 48 93 01 F0 00 63 1E DF 15 +B7 20 21 21 93 80 10 12 13 DF F0 01 93 0E 00 00 +93 01 00 01 63 12 DF 15 B7 00 00 80 93 D0 70 00 +B7 0E 00 01 93 01 10 01 63 98 D0 13 13 02 00 00 +B7 00 00 80 13 DF 70 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 16 52 FE B7 0E 00 01 93 01 20 01 +63 14 D3 11 13 02 00 00 B7 00 00 80 13 DF E0 00 +13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 14 52 FE B7 0E 02 00 93 01 30 01 63 1E D3 0D +13 02 00 00 B7 00 00 80 93 80 10 00 13 DF F0 01 +13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 10 52 FE 93 0E 10 00 93 01 40 01 +63 14 D3 0B 13 02 00 00 B7 00 00 80 13 DF 70 00 +13 02 12 00 93 02 20 00 E3 18 52 FE B7 0E 00 01 +93 01 50 01 63 12 DF 09 13 02 00 00 B7 00 00 80 +13 00 00 00 13 DF E0 00 13 02 12 00 93 02 20 00 +E3 16 52 FE B7 0E 02 00 93 01 60 01 63 1E DF 05 +13 02 00 00 B7 00 00 80 93 80 10 00 13 00 00 00 +13 00 00 00 13 DF F0 01 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 10 00 93 01 70 01 63 16 DF 03 +93 50 40 00 93 0E 00 00 93 01 80 01 63 9E D0 01 +93 00 10 02 13 D0 A0 00 93 0E 00 00 93 01 90 01 +63 14 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 +6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +00 00 00 00 +@00000300 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-sub b/tests/isa/generated/rv32ui-p-sub old mode 100644 new mode 100755 index 858f941..7929731 Binary files a/tests/isa/generated/rv32ui-p-sub and b/tests/isa/generated/rv32ui-p-sub differ diff --git a/tests/isa/generated/rv32ui-p-sub.bin b/tests/isa/generated/rv32ui-p-sub.bin old mode 100644 new mode 100755 index 96d5e2b..93007c2 Binary files a/tests/isa/generated/rv32ui-p-sub.bin and b/tests/isa/generated/rv32ui-p-sub.bin differ diff --git a/tests/isa/generated/rv32ui-p-sub.dump b/tests/isa/generated/rv32ui-p-sub.dump index 60dc8d3..5902c74 100644 --- a/tests/isa/generated/rv32ui-p-sub.dump +++ b/tests/isa/generated/rv32ui-p-sub.dump @@ -385,15 +385,15 @@ Disassembly of section .text.init: 4c4: 00301863 bne zero,gp,4d4 000004c8 : - 4c8: 00100d13 li s10,1 - 4cc: 00000d93 li s11,0 + 4c8: 00000d93 li s11,0 + 4cc: 00100d13 li s10,1 000004d0 : 4d0: 0000006f j 4d0 000004d4 : - 4d4: 00100d13 li s10,1 - 4d8: 00100d93 li s11,1 + 4d4: 00100d93 li s11,1 + 4d8: 00100d13 li s10,1 000004dc : 4dc: 0000006f j 4dc @@ -406,3 +406,18 @@ Disassembly of section .tohost: 00000580 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-sub.mem b/tests/isa/generated/rv32ui-p-sub.mem new file mode 100644 index 0000000..1253927 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-sub.mem @@ -0,0 +1,354 @@ +00000d13 +00000d93 +00000093 +00000113 +40208f33 +00000e93 +00200193 +4bdf1663 +00100093 +00100113 +40208f33 +00000e93 +00300193 +49df1a63 +00300093 +00700113 +40208f33 +ffc00e93 +00400193 +47df1e63 +00000093 +ffff8137 +40208f33 +00008eb7 +00500193 +47df1263 +800000b7 +00000113 +40208f33 +80000eb7 +00600193 +45df1663 +800000b7 +ffff8137 +40208f33 +80008eb7 +00700193 +43df1a63 +00000093 +00008137 +fff10113 +40208f33 +ffff8eb7 +001e8e93 +00800193 +41df1a63 +800000b7 +fff08093 +00000113 +40208f33 +80000eb7 +fffe8e93 +00900193 +3fdf1a63 +800000b7 +fff08093 +00008137 +fff10113 +40208f33 +7fff8eb7 +00a00193 +3ddf1a63 +800000b7 +00008137 +fff10113 +40208f33 +7fff8eb7 +001e8e93 +00b00193 +3bdf1a63 +800000b7 +fff08093 +ffff8137 +40208f33 +80008eb7 +fffe8e93 +00c00193 +39df1a63 +00000093 +fff00113 +40208f33 +00100e93 +00d00193 +37df1e63 +fff00093 +00100113 +40208f33 +ffe00e93 +00e00193 +37df1263 +fff00093 +fff00113 +40208f33 +00000e93 +00f00193 +35df1663 +00d00093 +00b00113 +402080b3 +00200e93 +01000193 +33d09a63 +00e00093 +00b00113 +40208133 +00300e93 +01100193 +31d11e63 +00d00093 +401080b3 +00000e93 +01200193 +31d09463 +00000213 +00d00093 +00b00113 +40208f33 +000f0313 +00120213 +00200293 +fe5214e3 +00200e93 +01300193 +2dd31e63 +00000213 +00e00093 +00b00113 +40208f33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00300e93 +01400193 +2bd31663 +00000213 +00f00093 +00b00113 +40208f33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +00400e93 +01500193 +27d31c63 +00000213 +00d00093 +00b00113 +40208f33 +00120213 +00200293 +fe5216e3 +00200e93 +01600193 +25df1863 +00000213 +00e00093 +00b00113 +00000013 +40208f33 +00120213 +00200293 +fe5214e3 +00300e93 +01700193 +23df1263 +00000213 +00f00093 +00b00113 +00000013 +00000013 +40208f33 +00120213 +00200293 +fe5212e3 +00400e93 +01800193 +1fdf1a63 +00000213 +00d00093 +00000013 +00b00113 +40208f33 +00120213 +00200293 +fe5214e3 +00200e93 +01900193 +1ddf1463 +00000213 +00e00093 +00000013 +00b00113 +00000013 +40208f33 +00120213 +00200293 +fe5212e3 +00300e93 +01a00193 +19df1c63 +00000213 +00f00093 +00000013 +00000013 +00b00113 +40208f33 +00120213 +00200293 +fe5212e3 +00400e93 +01b00193 +17df1463 +00000213 +00b00113 +00d00093 +40208f33 +00120213 +00200293 +fe5216e3 +00200e93 +01c00193 +15df1063 +00000213 +00b00113 +00e00093 +00000013 +40208f33 +00120213 +00200293 +fe5214e3 +00300e93 +01d00193 +11df1a63 +00000213 +00b00113 +00f00093 +00000013 +00000013 +40208f33 +00120213 +00200293 +fe5212e3 +00400e93 +01e00193 +0fdf1263 +00000213 +00b00113 +00000013 +00d00093 +40208f33 +00120213 +00200293 +fe5214e3 +00200e93 +01f00193 +0bdf1c63 +00000213 +00b00113 +00000013 +00e00093 +00000013 +40208f33 +00120213 +00200293 +fe5212e3 +00300e93 +02000193 +09df1463 +00000213 +00b00113 +00000013 +00000013 +00f00093 +40208f33 +00120213 +00200293 +fe5212e3 +00400e93 +02100193 +05df1c63 +ff100093 +40100133 +00f00e93 +02200193 +05d11263 +02000093 +40008133 +02000e93 +02300193 +03d11863 +400000b3 +00000e93 +02400193 +03d09063 +01000093 +01e00113 +40208033 +00000e93 +02500193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-sub.verilog b/tests/isa/generated/rv32ui-p-sub.verilog old mode 100644 new mode 100755 index 1920e50..4b082a6 --- a/tests/isa/generated/rv32ui-p-sub.verilog +++ b/tests/isa/generated/rv32ui-p-sub.verilog @@ -1,88 +1,88 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 -33 8F 20 40 93 0E 00 00 93 01 20 00 63 16 DF 4B -93 00 10 00 13 01 10 00 33 8F 20 40 93 0E 00 00 -93 01 30 00 63 1A DF 49 93 00 30 00 13 01 70 00 -33 8F 20 40 93 0E C0 FF 93 01 40 00 63 1E DF 47 -93 00 00 00 37 81 FF FF 33 8F 20 40 B7 8E 00 00 -93 01 50 00 63 12 DF 47 B7 00 00 80 13 01 00 00 -33 8F 20 40 B7 0E 00 80 93 01 60 00 63 16 DF 45 -B7 00 00 80 37 81 FF FF 33 8F 20 40 B7 8E 00 80 -93 01 70 00 63 1A DF 43 93 00 00 00 37 81 00 00 -13 01 F1 FF 33 8F 20 40 B7 8E FF FF 93 8E 1E 00 -93 01 80 00 63 1A DF 41 B7 00 00 80 93 80 F0 FF -13 01 00 00 33 8F 20 40 B7 0E 00 80 93 8E FE FF -93 01 90 00 63 1A DF 3F B7 00 00 80 93 80 F0 FF -37 81 00 00 13 01 F1 FF 33 8F 20 40 B7 8E FF 7F -93 01 A0 00 63 1A DF 3D B7 00 00 80 37 81 00 00 -13 01 F1 FF 33 8F 20 40 B7 8E FF 7F 93 8E 1E 00 -93 01 B0 00 63 1A DF 3B B7 00 00 80 93 80 F0 FF -37 81 FF FF 33 8F 20 40 B7 8E 00 80 93 8E FE FF -93 01 C0 00 63 1A DF 39 93 00 00 00 13 01 F0 FF -33 8F 20 40 93 0E 10 00 93 01 D0 00 63 1E DF 37 -93 00 F0 FF 13 01 10 00 33 8F 20 40 93 0E E0 FF -93 01 E0 00 63 12 DF 37 93 00 F0 FF 13 01 F0 FF -33 8F 20 40 93 0E 00 00 93 01 F0 00 63 16 DF 35 -93 00 D0 00 13 01 B0 00 B3 80 20 40 93 0E 20 00 -93 01 00 01 63 9A D0 33 93 00 E0 00 13 01 B0 00 -33 81 20 40 93 0E 30 00 93 01 10 01 63 1E D1 31 -93 00 D0 00 B3 80 10 40 93 0E 00 00 93 01 20 01 -63 94 D0 31 13 02 00 00 93 00 D0 00 13 01 B0 00 -33 8F 20 40 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E 20 00 93 01 30 01 63 1E D3 2D -13 02 00 00 93 00 E0 00 13 01 B0 00 33 8F 20 40 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 30 00 93 01 40 01 63 16 D3 2B -13 02 00 00 93 00 F0 00 13 01 B0 00 33 8F 20 40 -13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 -93 02 20 00 E3 10 52 FE 93 0E 40 00 93 01 50 01 -63 1C D3 27 13 02 00 00 93 00 D0 00 13 01 B0 00 -33 8F 20 40 13 02 12 00 93 02 20 00 E3 16 52 FE -93 0E 20 00 93 01 60 01 63 18 DF 25 13 02 00 00 -93 00 E0 00 13 01 B0 00 13 00 00 00 33 8F 20 40 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 30 00 -93 01 70 01 63 12 DF 23 13 02 00 00 93 00 F0 00 -13 01 B0 00 13 00 00 00 13 00 00 00 33 8F 20 40 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 40 00 -93 01 80 01 63 1A DF 1F 13 02 00 00 93 00 D0 00 -13 00 00 00 13 01 B0 00 33 8F 20 40 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 0E 20 00 93 01 90 01 -63 14 DF 1D 13 02 00 00 93 00 E0 00 13 00 00 00 -13 01 B0 00 13 00 00 00 33 8F 20 40 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E 30 00 93 01 A0 01 -63 1C DF 19 13 02 00 00 93 00 F0 00 13 00 00 00 -13 00 00 00 13 01 B0 00 33 8F 20 40 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E 40 00 93 01 B0 01 -63 14 DF 17 13 02 00 00 13 01 B0 00 93 00 D0 00 -33 8F 20 40 13 02 12 00 93 02 20 00 E3 16 52 FE -93 0E 20 00 93 01 C0 01 63 10 DF 15 13 02 00 00 -13 01 B0 00 93 00 E0 00 13 00 00 00 33 8F 20 40 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 30 00 -93 01 D0 01 63 1A DF 11 13 02 00 00 13 01 B0 00 -93 00 F0 00 13 00 00 00 13 00 00 00 33 8F 20 40 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 40 00 -93 01 E0 01 63 12 DF 0F 13 02 00 00 13 01 B0 00 -13 00 00 00 93 00 D0 00 33 8F 20 40 13 02 12 00 -93 02 20 00 E3 14 52 FE 93 0E 20 00 93 01 F0 01 -63 1C DF 0B 13 02 00 00 13 01 B0 00 13 00 00 00 -93 00 E0 00 13 00 00 00 33 8F 20 40 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E 30 00 93 01 00 02 -63 14 DF 09 13 02 00 00 13 01 B0 00 13 00 00 00 -13 00 00 00 93 00 F0 00 33 8F 20 40 13 02 12 00 -93 02 20 00 E3 12 52 FE 93 0E 40 00 93 01 10 02 -63 1C DF 05 93 00 10 FF 33 01 10 40 93 0E F0 00 -93 01 20 02 63 12 D1 05 93 00 00 02 33 81 00 40 -93 0E 00 02 93 01 30 02 63 18 D1 03 B3 00 00 40 -93 0E 00 00 93 01 40 02 63 90 D0 03 93 00 00 01 -13 01 E0 01 33 80 20 40 93 0E 00 00 93 01 50 02 -63 14 D0 01 63 18 30 00 13 0D 10 00 93 0D 00 00 -6F 00 00 00 13 0D 10 00 93 0D 10 00 6F 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000540 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 +33 8F 20 40 93 0E 00 00 93 01 20 00 63 16 DF 4B +93 00 10 00 13 01 10 00 33 8F 20 40 93 0E 00 00 +93 01 30 00 63 1A DF 49 93 00 30 00 13 01 70 00 +33 8F 20 40 93 0E C0 FF 93 01 40 00 63 1E DF 47 +93 00 00 00 37 81 FF FF 33 8F 20 40 B7 8E 00 00 +93 01 50 00 63 12 DF 47 B7 00 00 80 13 01 00 00 +33 8F 20 40 B7 0E 00 80 93 01 60 00 63 16 DF 45 +B7 00 00 80 37 81 FF FF 33 8F 20 40 B7 8E 00 80 +93 01 70 00 63 1A DF 43 93 00 00 00 37 81 00 00 +13 01 F1 FF 33 8F 20 40 B7 8E FF FF 93 8E 1E 00 +93 01 80 00 63 1A DF 41 B7 00 00 80 93 80 F0 FF +13 01 00 00 33 8F 20 40 B7 0E 00 80 93 8E FE FF +93 01 90 00 63 1A DF 3F B7 00 00 80 93 80 F0 FF +37 81 00 00 13 01 F1 FF 33 8F 20 40 B7 8E FF 7F +93 01 A0 00 63 1A DF 3D B7 00 00 80 37 81 00 00 +13 01 F1 FF 33 8F 20 40 B7 8E FF 7F 93 8E 1E 00 +93 01 B0 00 63 1A DF 3B B7 00 00 80 93 80 F0 FF +37 81 FF FF 33 8F 20 40 B7 8E 00 80 93 8E FE FF +93 01 C0 00 63 1A DF 39 93 00 00 00 13 01 F0 FF +33 8F 20 40 93 0E 10 00 93 01 D0 00 63 1E DF 37 +93 00 F0 FF 13 01 10 00 33 8F 20 40 93 0E E0 FF +93 01 E0 00 63 12 DF 37 93 00 F0 FF 13 01 F0 FF +33 8F 20 40 93 0E 00 00 93 01 F0 00 63 16 DF 35 +93 00 D0 00 13 01 B0 00 B3 80 20 40 93 0E 20 00 +93 01 00 01 63 9A D0 33 93 00 E0 00 13 01 B0 00 +33 81 20 40 93 0E 30 00 93 01 10 01 63 1E D1 31 +93 00 D0 00 B3 80 10 40 93 0E 00 00 93 01 20 01 +63 94 D0 31 13 02 00 00 93 00 D0 00 13 01 B0 00 +33 8F 20 40 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E 20 00 93 01 30 01 63 1E D3 2D +13 02 00 00 93 00 E0 00 13 01 B0 00 33 8F 20 40 +13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 30 00 93 01 40 01 63 16 D3 2B +13 02 00 00 93 00 F0 00 13 01 B0 00 33 8F 20 40 +13 00 00 00 13 00 00 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 10 52 FE 93 0E 40 00 93 01 50 01 +63 1C D3 27 13 02 00 00 93 00 D0 00 13 01 B0 00 +33 8F 20 40 13 02 12 00 93 02 20 00 E3 16 52 FE +93 0E 20 00 93 01 60 01 63 18 DF 25 13 02 00 00 +93 00 E0 00 13 01 B0 00 13 00 00 00 33 8F 20 40 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 30 00 +93 01 70 01 63 12 DF 23 13 02 00 00 93 00 F0 00 +13 01 B0 00 13 00 00 00 13 00 00 00 33 8F 20 40 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 40 00 +93 01 80 01 63 1A DF 1F 13 02 00 00 93 00 D0 00 +13 00 00 00 13 01 B0 00 33 8F 20 40 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 0E 20 00 93 01 90 01 +63 14 DF 1D 13 02 00 00 93 00 E0 00 13 00 00 00 +13 01 B0 00 13 00 00 00 33 8F 20 40 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E 30 00 93 01 A0 01 +63 1C DF 19 13 02 00 00 93 00 F0 00 13 00 00 00 +13 00 00 00 13 01 B0 00 33 8F 20 40 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E 40 00 93 01 B0 01 +63 14 DF 17 13 02 00 00 13 01 B0 00 93 00 D0 00 +33 8F 20 40 13 02 12 00 93 02 20 00 E3 16 52 FE +93 0E 20 00 93 01 C0 01 63 10 DF 15 13 02 00 00 +13 01 B0 00 93 00 E0 00 13 00 00 00 33 8F 20 40 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E 30 00 +93 01 D0 01 63 1A DF 11 13 02 00 00 13 01 B0 00 +93 00 F0 00 13 00 00 00 13 00 00 00 33 8F 20 40 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E 40 00 +93 01 E0 01 63 12 DF 0F 13 02 00 00 13 01 B0 00 +13 00 00 00 93 00 D0 00 33 8F 20 40 13 02 12 00 +93 02 20 00 E3 14 52 FE 93 0E 20 00 93 01 F0 01 +63 1C DF 0B 13 02 00 00 13 01 B0 00 13 00 00 00 +93 00 E0 00 13 00 00 00 33 8F 20 40 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E 30 00 93 01 00 02 +63 14 DF 09 13 02 00 00 13 01 B0 00 13 00 00 00 +13 00 00 00 93 00 F0 00 33 8F 20 40 13 02 12 00 +93 02 20 00 E3 12 52 FE 93 0E 40 00 93 01 10 02 +63 1C DF 05 93 00 10 FF 33 01 10 40 93 0E F0 00 +93 01 20 02 63 12 D1 05 93 00 00 02 33 81 00 40 +93 0E 00 02 93 01 30 02 63 18 D1 03 B3 00 00 40 +93 0E 00 00 93 01 40 02 63 90 D0 03 93 00 00 01 +13 01 E0 01 33 80 20 40 93 0E 00 00 93 01 50 02 +63 14 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 +6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000540 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-sw b/tests/isa/generated/rv32ui-p-sw old mode 100644 new mode 100755 index 750124a..791cdcd Binary files a/tests/isa/generated/rv32ui-p-sw and b/tests/isa/generated/rv32ui-p-sw differ diff --git a/tests/isa/generated/rv32ui-p-sw.bin b/tests/isa/generated/rv32ui-p-sw.bin old mode 100644 new mode 100755 index 9acde40..44cd72e Binary files a/tests/isa/generated/rv32ui-p-sw.bin and b/tests/isa/generated/rv32ui-p-sw.bin differ diff --git a/tests/isa/generated/rv32ui-p-sw.dump b/tests/isa/generated/rv32ui-p-sw.dump index 054a47a..14f9852 100644 --- a/tests/isa/generated/rv32ui-p-sw.dump +++ b/tests/isa/generated/rv32ui-p-sw.dump @@ -342,15 +342,15 @@ Disassembly of section .text.init: 488: 00301863 bne zero,gp,498 0000048c : - 48c: 00100d13 li s10,1 - 490: 00000d93 li s11,0 + 48c: 00000d93 li s11,0 + 490: 00100d13 li s10,1 00000494 : 494: 0000006f j 494 00000498 : - 498: 00100d13 li s10,1 - 49c: 00100d93 li s11,1 + 498: 00100d93 li s11,1 + 49c: 00100d13 li s10,1 000004a0 : 4a0: 0000006f j 4a0 @@ -396,3 +396,18 @@ Disassembly of section .tohost: 00001080 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-sw.mem b/tests/isa/generated/rv32ui-p-sw.mem new file mode 100644 index 0000000..e1b78c1 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-sw.mem @@ -0,0 +1,1058 @@ +00000d13 +00000d93 +00001097 +ff808093 +00aa0137 +0aa10113 +0020a023 +0000af03 +00aa0eb7 +0aae8e93 +00200193 +47df1063 +00001097 +fd008093 +aa00b137 +a0010113 +0020a223 +0040af03 +aa00beb7 +a00e8e93 +00300193 +43df1c63 +00001097 +fa808093 +0aa01137 +aa010113 +0020a423 +0080af03 +0aa01eb7 +aa0e8e93 +00400193 +41df1863 +00001097 +f8008093 +a00aa137 +00a10113 +0020a623 +00c0af03 +a00aaeb7 +00ae8e93 +00500193 +3fdf1463 +00001097 +f7408093 +00aa0137 +0aa10113 +fe20aa23 +ff40af03 +00aa0eb7 +0aae8e93 +00600193 +3ddf1063 +00001097 +f4c08093 +aa00b137 +a0010113 +fe20ac23 +ff80af03 +aa00beb7 +a00e8e93 +00700193 +39df1c63 +00001097 +f2408093 +0aa01137 +aa010113 +fe20ae23 +ffc0af03 +0aa01eb7 +aa0e8e93 +00800193 +37df1863 +00001097 +efc08093 +a00aa137 +00a10113 +0020a023 +0000af03 +a00aaeb7 +00ae8e93 +00900193 +35df1463 +00001097 +ed808093 +12345137 +67810113 +fe008213 +02222023 +0000a283 +12345eb7 +678e8e93 +00a00193 +31d29e63 +00001097 +eac08093 +58213137 +09810113 +ffd08093 +0020a3a3 +00001217 +e9820213 +00022283 +58213eb7 +098e8e93 +00b00193 +2fd29463 +00c00193 +00000213 +aabbd0b7 +cdd08093 +00001117 +e4810113 +00112023 +00012f03 +aabbdeb7 +cdde8e93 +2bdf1e63 +00120213 +00200293 +fc521ae3 +00d00193 +00000213 +daabc0b7 +ccd08093 +00001117 +e1010113 +00000013 +00112223 +00412f03 +daabceb7 +ccde8e93 +29df1063 +00120213 +00200293 +fc5218e3 +00e00193 +00000213 +ddaac0b7 +bcc08093 +00001117 +dd410113 +00000013 +00000013 +00112423 +00812f03 +ddaaceb7 +bcce8e93 +25df1063 +00120213 +00200293 +fc5216e3 +00f00193 +00000213 +cddab0b7 +bbc08093 +00000013 +00001117 +d9010113 +00112623 +00c12f03 +cddabeb7 +bbce8e93 +21df1263 +00120213 +00200293 +fc5218e3 +01000193 +00000213 +ccddb0b7 +abb08093 +00000013 +00001117 +d5410113 +00000013 +00112823 +01012f03 +ccddbeb7 +abbe8e93 +1ddf1263 +00120213 +00200293 +fc5216e3 +01100193 +00000213 +bccde0b7 +aab08093 +00000013 +00000013 +00001117 +d1010113 +00112a23 +01412f03 +bccdeeb7 +aabe8e93 +19df1263 +00120213 +00200293 +fc5216e3 +01200193 +00000213 +00001117 +ce010113 +001120b7 +23308093 +00112023 +00012f03 +00112eb7 +233e8e93 +15df1663 +00120213 +00200293 +fc521ae3 +01300193 +00000213 +00001117 +ca810113 +300110b7 +22308093 +00000013 +00112223 +00412f03 +30011eb7 +223e8e93 +11df1863 +00120213 +00200293 +fc5218e3 +01400193 +00000213 +00001117 +c6c10113 +330010b7 +12208093 +00000013 +00000013 +00112423 +00812f03 +33001eb7 +122e8e93 +0ddf1863 +00120213 +00200293 +fc5216e3 +01500193 +00000213 +00001117 +c2c10113 +00000013 +233000b7 +11208093 +00112623 +00c12f03 +23300eb7 +112e8e93 +09df1a63 +00120213 +00200293 +fc5218e3 +01600193 +00000213 +00001117 +bf010113 +00000013 +223300b7 +01108093 +00000013 +00112823 +01012f03 +22330eb7 +011e8e93 +05df1a63 +00120213 +00200293 +fc5216e3 +01700193 +00000213 +00001117 +bb010113 +00000013 +00000013 +122330b7 +00108093 +00112a23 +01412f03 +12233eb7 +001e8e93 +01df1a63 +00120213 +00200293 +fc5216e3 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-sw.verilog b/tests/isa/generated/rv32ui-p-sw.verilog old mode 100644 new mode 100755 index 1915c9b..b6e5c65 --- a/tests/isa/generated/rv32ui-p-sw.verilog +++ b/tests/isa/generated/rv32ui-p-sw.verilog @@ -1,88 +1,88 @@ -@00000000 -13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF -37 01 AA 00 13 01 A1 0A 23 A0 20 00 03 AF 00 00 -B7 0E AA 00 93 8E AE 0A 93 01 20 00 63 10 DF 47 -97 10 00 00 93 80 00 FD 37 B1 00 AA 13 01 01 A0 -23 A2 20 00 03 AF 40 00 B7 BE 00 AA 93 8E 0E A0 -93 01 30 00 63 1C DF 43 97 10 00 00 93 80 80 FA -37 11 A0 0A 13 01 01 AA 23 A4 20 00 03 AF 80 00 -B7 1E A0 0A 93 8E 0E AA 93 01 40 00 63 18 DF 41 -97 10 00 00 93 80 00 F8 37 A1 0A A0 13 01 A1 00 -23 A6 20 00 03 AF C0 00 B7 AE 0A A0 93 8E AE 00 -93 01 50 00 63 14 DF 3F 97 10 00 00 93 80 40 F7 -37 01 AA 00 13 01 A1 0A 23 AA 20 FE 03 AF 40 FF -B7 0E AA 00 93 8E AE 0A 93 01 60 00 63 10 DF 3D -97 10 00 00 93 80 C0 F4 37 B1 00 AA 13 01 01 A0 -23 AC 20 FE 03 AF 80 FF B7 BE 00 AA 93 8E 0E A0 -93 01 70 00 63 1C DF 39 97 10 00 00 93 80 40 F2 -37 11 A0 0A 13 01 01 AA 23 AE 20 FE 03 AF C0 FF -B7 1E A0 0A 93 8E 0E AA 93 01 80 00 63 18 DF 37 -97 10 00 00 93 80 C0 EF 37 A1 0A A0 13 01 A1 00 -23 A0 20 00 03 AF 00 00 B7 AE 0A A0 93 8E AE 00 -93 01 90 00 63 14 DF 35 97 10 00 00 93 80 80 ED -37 51 34 12 13 01 81 67 13 82 00 FE 23 20 22 02 -83 A2 00 00 B7 5E 34 12 93 8E 8E 67 93 01 A0 00 -63 9E D2 31 97 10 00 00 93 80 C0 EA 37 31 21 58 -13 01 81 09 93 80 D0 FF A3 A3 20 00 17 12 00 00 -13 02 82 E9 83 22 02 00 B7 3E 21 58 93 8E 8E 09 -93 01 B0 00 63 94 D2 2F 93 01 C0 00 13 02 00 00 -B7 D0 BB AA 93 80 D0 CD 17 11 00 00 13 01 81 E4 -23 20 11 00 03 2F 01 00 B7 DE BB AA 93 8E DE CD -63 1E DF 2B 13 02 12 00 93 02 20 00 E3 1A 52 FC -93 01 D0 00 13 02 00 00 B7 C0 AB DA 93 80 D0 CC -17 11 00 00 13 01 01 E1 13 00 00 00 23 22 11 00 -03 2F 41 00 B7 CE AB DA 93 8E DE CC 63 10 DF 29 -13 02 12 00 93 02 20 00 E3 18 52 FC 93 01 E0 00 -13 02 00 00 B7 C0 AA DD 93 80 C0 BC 17 11 00 00 -13 01 41 DD 13 00 00 00 13 00 00 00 23 24 11 00 -03 2F 81 00 B7 CE AA DD 93 8E CE BC 63 10 DF 25 -13 02 12 00 93 02 20 00 E3 16 52 FC 93 01 F0 00 -13 02 00 00 B7 B0 DA CD 93 80 C0 BB 13 00 00 00 -17 11 00 00 13 01 01 D9 23 26 11 00 03 2F C1 00 -B7 BE DA CD 93 8E CE BB 63 12 DF 21 13 02 12 00 -93 02 20 00 E3 18 52 FC 93 01 00 01 13 02 00 00 -B7 B0 DD CC 93 80 B0 AB 13 00 00 00 17 11 00 00 -13 01 41 D5 13 00 00 00 23 28 11 00 03 2F 01 01 -B7 BE DD CC 93 8E BE AB 63 12 DF 1D 13 02 12 00 -93 02 20 00 E3 16 52 FC 93 01 10 01 13 02 00 00 -B7 E0 CD BC 93 80 B0 AA 13 00 00 00 13 00 00 00 -17 11 00 00 13 01 01 D1 23 2A 11 00 03 2F 41 01 -B7 EE CD BC 93 8E BE AA 63 12 DF 19 13 02 12 00 -93 02 20 00 E3 16 52 FC 93 01 20 01 13 02 00 00 -17 11 00 00 13 01 01 CE B7 20 11 00 93 80 30 23 -23 20 11 00 03 2F 01 00 B7 2E 11 00 93 8E 3E 23 -63 16 DF 15 13 02 12 00 93 02 20 00 E3 1A 52 FC -93 01 30 01 13 02 00 00 17 11 00 00 13 01 81 CA -B7 10 01 30 93 80 30 22 13 00 00 00 23 22 11 00 -03 2F 41 00 B7 1E 01 30 93 8E 3E 22 63 18 DF 11 -13 02 12 00 93 02 20 00 E3 18 52 FC 93 01 40 01 -13 02 00 00 17 11 00 00 13 01 C1 C6 B7 10 00 33 -93 80 20 12 13 00 00 00 13 00 00 00 23 24 11 00 -03 2F 81 00 B7 1E 00 33 93 8E 2E 12 63 18 DF 0D -13 02 12 00 93 02 20 00 E3 16 52 FC 93 01 50 01 -13 02 00 00 17 11 00 00 13 01 C1 C2 13 00 00 00 -B7 00 30 23 93 80 20 11 23 26 11 00 03 2F C1 00 -B7 0E 30 23 93 8E 2E 11 63 1A DF 09 13 02 12 00 -93 02 20 00 E3 18 52 FC 93 01 60 01 13 02 00 00 -17 11 00 00 13 01 01 BF 13 00 00 00 B7 00 33 22 -93 80 10 01 13 00 00 00 23 28 11 00 03 2F 01 01 -B7 0E 33 22 93 8E 1E 01 63 1A DF 05 13 02 12 00 -93 02 20 00 E3 16 52 FC 93 01 70 01 13 02 00 00 -17 11 00 00 13 01 01 BB 13 00 00 00 13 00 00 00 -B7 30 23 12 93 80 10 00 23 2A 11 00 03 2F 41 01 -B7 3E 23 12 93 8E 1E 00 63 1A DF 01 13 02 12 00 -93 02 20 00 E3 16 52 FC 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00001000 -EF BE AD DE EF BE AD DE EF BE AD DE EF BE AD DE -EF BE AD DE EF BE AD DE EF BE AD DE EF BE AD DE -EF BE AD DE EF BE AD DE 00 00 00 00 00 00 00 00 -@00001040 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 97 10 00 00 93 80 80 FF +37 01 AA 00 13 01 A1 0A 23 A0 20 00 03 AF 00 00 +B7 0E AA 00 93 8E AE 0A 93 01 20 00 63 10 DF 47 +97 10 00 00 93 80 00 FD 37 B1 00 AA 13 01 01 A0 +23 A2 20 00 03 AF 40 00 B7 BE 00 AA 93 8E 0E A0 +93 01 30 00 63 1C DF 43 97 10 00 00 93 80 80 FA +37 11 A0 0A 13 01 01 AA 23 A4 20 00 03 AF 80 00 +B7 1E A0 0A 93 8E 0E AA 93 01 40 00 63 18 DF 41 +97 10 00 00 93 80 00 F8 37 A1 0A A0 13 01 A1 00 +23 A6 20 00 03 AF C0 00 B7 AE 0A A0 93 8E AE 00 +93 01 50 00 63 14 DF 3F 97 10 00 00 93 80 40 F7 +37 01 AA 00 13 01 A1 0A 23 AA 20 FE 03 AF 40 FF +B7 0E AA 00 93 8E AE 0A 93 01 60 00 63 10 DF 3D +97 10 00 00 93 80 C0 F4 37 B1 00 AA 13 01 01 A0 +23 AC 20 FE 03 AF 80 FF B7 BE 00 AA 93 8E 0E A0 +93 01 70 00 63 1C DF 39 97 10 00 00 93 80 40 F2 +37 11 A0 0A 13 01 01 AA 23 AE 20 FE 03 AF C0 FF +B7 1E A0 0A 93 8E 0E AA 93 01 80 00 63 18 DF 37 +97 10 00 00 93 80 C0 EF 37 A1 0A A0 13 01 A1 00 +23 A0 20 00 03 AF 00 00 B7 AE 0A A0 93 8E AE 00 +93 01 90 00 63 14 DF 35 97 10 00 00 93 80 80 ED +37 51 34 12 13 01 81 67 13 82 00 FE 23 20 22 02 +83 A2 00 00 B7 5E 34 12 93 8E 8E 67 93 01 A0 00 +63 9E D2 31 97 10 00 00 93 80 C0 EA 37 31 21 58 +13 01 81 09 93 80 D0 FF A3 A3 20 00 17 12 00 00 +13 02 82 E9 83 22 02 00 B7 3E 21 58 93 8E 8E 09 +93 01 B0 00 63 94 D2 2F 93 01 C0 00 13 02 00 00 +B7 D0 BB AA 93 80 D0 CD 17 11 00 00 13 01 81 E4 +23 20 11 00 03 2F 01 00 B7 DE BB AA 93 8E DE CD +63 1E DF 2B 13 02 12 00 93 02 20 00 E3 1A 52 FC +93 01 D0 00 13 02 00 00 B7 C0 AB DA 93 80 D0 CC +17 11 00 00 13 01 01 E1 13 00 00 00 23 22 11 00 +03 2F 41 00 B7 CE AB DA 93 8E DE CC 63 10 DF 29 +13 02 12 00 93 02 20 00 E3 18 52 FC 93 01 E0 00 +13 02 00 00 B7 C0 AA DD 93 80 C0 BC 17 11 00 00 +13 01 41 DD 13 00 00 00 13 00 00 00 23 24 11 00 +03 2F 81 00 B7 CE AA DD 93 8E CE BC 63 10 DF 25 +13 02 12 00 93 02 20 00 E3 16 52 FC 93 01 F0 00 +13 02 00 00 B7 B0 DA CD 93 80 C0 BB 13 00 00 00 +17 11 00 00 13 01 01 D9 23 26 11 00 03 2F C1 00 +B7 BE DA CD 93 8E CE BB 63 12 DF 21 13 02 12 00 +93 02 20 00 E3 18 52 FC 93 01 00 01 13 02 00 00 +B7 B0 DD CC 93 80 B0 AB 13 00 00 00 17 11 00 00 +13 01 41 D5 13 00 00 00 23 28 11 00 03 2F 01 01 +B7 BE DD CC 93 8E BE AB 63 12 DF 1D 13 02 12 00 +93 02 20 00 E3 16 52 FC 93 01 10 01 13 02 00 00 +B7 E0 CD BC 93 80 B0 AA 13 00 00 00 13 00 00 00 +17 11 00 00 13 01 01 D1 23 2A 11 00 03 2F 41 01 +B7 EE CD BC 93 8E BE AA 63 12 DF 19 13 02 12 00 +93 02 20 00 E3 16 52 FC 93 01 20 01 13 02 00 00 +17 11 00 00 13 01 01 CE B7 20 11 00 93 80 30 23 +23 20 11 00 03 2F 01 00 B7 2E 11 00 93 8E 3E 23 +63 16 DF 15 13 02 12 00 93 02 20 00 E3 1A 52 FC +93 01 30 01 13 02 00 00 17 11 00 00 13 01 81 CA +B7 10 01 30 93 80 30 22 13 00 00 00 23 22 11 00 +03 2F 41 00 B7 1E 01 30 93 8E 3E 22 63 18 DF 11 +13 02 12 00 93 02 20 00 E3 18 52 FC 93 01 40 01 +13 02 00 00 17 11 00 00 13 01 C1 C6 B7 10 00 33 +93 80 20 12 13 00 00 00 13 00 00 00 23 24 11 00 +03 2F 81 00 B7 1E 00 33 93 8E 2E 12 63 18 DF 0D +13 02 12 00 93 02 20 00 E3 16 52 FC 93 01 50 01 +13 02 00 00 17 11 00 00 13 01 C1 C2 13 00 00 00 +B7 00 30 23 93 80 20 11 23 26 11 00 03 2F C1 00 +B7 0E 30 23 93 8E 2E 11 63 1A DF 09 13 02 12 00 +93 02 20 00 E3 18 52 FC 93 01 60 01 13 02 00 00 +17 11 00 00 13 01 01 BF 13 00 00 00 B7 00 33 22 +93 80 10 01 13 00 00 00 23 28 11 00 03 2F 01 01 +B7 0E 33 22 93 8E 1E 01 63 1A DF 05 13 02 12 00 +93 02 20 00 E3 16 52 FC 93 01 70 01 13 02 00 00 +17 11 00 00 13 01 01 BB 13 00 00 00 13 00 00 00 +B7 30 23 12 93 80 10 00 23 2A 11 00 03 2F 41 01 +B7 3E 23 12 93 8E 1E 00 63 1A DF 01 13 02 12 00 +93 02 20 00 E3 16 52 FC 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00001000 +EF BE AD DE EF BE AD DE EF BE AD DE EF BE AD DE +EF BE AD DE EF BE AD DE EF BE AD DE EF BE AD DE +EF BE AD DE EF BE AD DE 00 00 00 00 00 00 00 00 +@00001040 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-xor b/tests/isa/generated/rv32ui-p-xor old mode 100644 new mode 100755 index 917a111..ece8079 Binary files a/tests/isa/generated/rv32ui-p-xor and b/tests/isa/generated/rv32ui-p-xor differ diff --git a/tests/isa/generated/rv32ui-p-xor.bin b/tests/isa/generated/rv32ui-p-xor.bin old mode 100644 new mode 100755 index 20eb36b..03dab56 Binary files a/tests/isa/generated/rv32ui-p-xor.bin and b/tests/isa/generated/rv32ui-p-xor.bin differ diff --git a/tests/isa/generated/rv32ui-p-xor.dump b/tests/isa/generated/rv32ui-p-xor.dump index b7ee509..030bdfa 100644 --- a/tests/isa/generated/rv32ui-p-xor.dump +++ b/tests/isa/generated/rv32ui-p-xor.dump @@ -365,15 +365,15 @@ Disassembly of section .text.init: 4c4: 00301863 bne zero,gp,4d4 000004c8 : - 4c8: 00100d13 li s10,1 - 4cc: 00000d93 li s11,0 + 4c8: 00000d93 li s11,0 + 4cc: 00100d13 li s10,1 000004d0 : 4d0: 0000006f j 4d0 000004d4 : - 4d4: 00100d13 li s10,1 - 4d8: 00100d93 li s11,1 + 4d4: 00100d93 li s11,1 + 4d8: 00100d13 li s10,1 000004dc : 4dc: 0000006f j 4dc @@ -386,3 +386,18 @@ Disassembly of section .tohost: 00000580 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-xor.mem b/tests/isa/generated/rv32ui-p-xor.mem new file mode 100644 index 0000000..0f87a04 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-xor.mem @@ -0,0 +1,354 @@ +00000d13 +00000d93 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020cf33 +f00ffeb7 +00fe8e93 +00200193 +4bdf1063 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020cf33 +ff010eb7 +f00e8e93 +00300193 +47df1e63 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +0020cf33 +0ff01eb7 +ff0e8e93 +00400193 +45df1c63 +f00ff0b7 +00f08093 +f0f0f137 +0f010113 +0020cf33 +00ff0eb7 +0ffe8e93 +00500193 +43df1a63 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020c0b3 +f00ffeb7 +00fe8e93 +00600193 +41d09863 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020c133 +f00ffeb7 +00fe8e93 +00700193 +3fd11663 +ff0100b7 +f0008093 +0010c0b3 +00000e93 +00800193 +3dd09a63 +00000213 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020cf33 +000f0313 +00120213 +00200293 +fe5210e3 +f00ffeb7 +00fe8e93 +00900193 +39d31e63 +00000213 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020cf33 +00000013 +000f0313 +00120213 +00200293 +fc521ee3 +ff010eb7 +f00e8e93 +00a00193 +37d31063 +00000213 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +0020cf33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fc521ce3 +0ff01eb7 +ff0e8e93 +00b00193 +33d31063 +00000213 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020cf33 +00120213 +00200293 +fe5212e3 +f00ffeb7 +00fe8e93 +00c00193 +2fdf1663 +00000213 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +00000013 +0020cf33 +00120213 +00200293 +fe5210e3 +ff010eb7 +f00e8e93 +00d00193 +2bdf1a63 +00000213 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +00000013 +00000013 +0020cf33 +00120213 +00200293 +fc521ee3 +0ff01eb7 +ff0e8e93 +00e00193 +27df1c63 +00000213 +ff0100b7 +f0008093 +00000013 +0f0f1137 +f0f10113 +0020cf33 +00120213 +00200293 +fe5210e3 +f00ffeb7 +00fe8e93 +00f00193 +25df1063 +00000213 +0ff010b7 +ff008093 +00000013 +f0f0f137 +0f010113 +00000013 +0020cf33 +00120213 +00200293 +fc521ee3 +ff010eb7 +f00e8e93 +01000193 +21df1263 +00000213 +00ff00b7 +0ff08093 +00000013 +00000013 +0f0f1137 +f0f10113 +0020cf33 +00120213 +00200293 +fc521ee3 +0ff01eb7 +ff0e8e93 +01100193 +1ddf1463 +00000213 +0f0f1137 +f0f10113 +ff0100b7 +f0008093 +0020cf33 +00120213 +00200293 +fe5212e3 +f00ffeb7 +00fe8e93 +01200193 +19df1a63 +00000213 +f0f0f137 +0f010113 +0ff010b7 +ff008093 +00000013 +0020cf33 +00120213 +00200293 +fe5210e3 +ff010eb7 +f00e8e93 +01300193 +15df1e63 +00000213 +0f0f1137 +f0f10113 +00ff00b7 +0ff08093 +00000013 +00000013 +0020cf33 +00120213 +00200293 +fc521ee3 +0ff01eb7 +ff0e8e93 +01400193 +13df1063 +00000213 +0f0f1137 +f0f10113 +00000013 +ff0100b7 +f0008093 +0020cf33 +00120213 +00200293 +fe5210e3 +f00ffeb7 +00fe8e93 +01500193 +0fdf1463 +00000213 +f0f0f137 +0f010113 +00000013 +0ff010b7 +ff008093 +00000013 +0020cf33 +00120213 +00200293 +fc521ee3 +ff010eb7 +f00e8e93 +01600193 +0bdf1663 +00000213 +0f0f1137 +f0f10113 +00000013 +00000013 +00ff00b7 +0ff08093 +0020cf33 +00120213 +00200293 +fc521ee3 +0ff01eb7 +ff0e8e93 +01700193 +07df1863 +ff0100b7 +f0008093 +00104133 +ff010eb7 +f00e8e93 +01800193 +05d11a63 +00ff00b7 +0ff08093 +0000c133 +00ff0eb7 +0ffe8e93 +01900193 +03d11c63 +000040b3 +00000e93 +01a00193 +03d09463 +111110b7 +11108093 +22222137 +22210113 +0020c033 +00000e93 +01b00193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-xor.verilog b/tests/isa/generated/rv32ui-p-xor.verilog old mode 100644 new mode 100755 index 747b893..b0cbd9e --- a/tests/isa/generated/rv32ui-p-xor.verilog +++ b/tests/isa/generated/rv32ui-p-xor.verilog @@ -1,88 +1,88 @@ -@00000000 -13 0D 00 00 93 0D 00 00 B7 00 01 FF 93 80 00 F0 -37 11 0F 0F 13 01 F1 F0 33 CF 20 00 B7 FE 0F F0 -93 8E FE 00 93 01 20 00 63 10 DF 4B B7 10 F0 0F -93 80 00 FF 37 F1 F0 F0 13 01 01 0F 33 CF 20 00 -B7 0E 01 FF 93 8E 0E F0 93 01 30 00 63 1E DF 47 -B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 -33 CF 20 00 B7 1E F0 0F 93 8E 0E FF 93 01 40 00 -63 1C DF 45 B7 F0 0F F0 93 80 F0 00 37 F1 F0 F0 -13 01 01 0F 33 CF 20 00 B7 0E FF 00 93 8E FE 0F -93 01 50 00 63 1A DF 43 B7 00 01 FF 93 80 00 F0 -37 11 0F 0F 13 01 F1 F0 B3 C0 20 00 B7 FE 0F F0 -93 8E FE 00 93 01 60 00 63 98 D0 41 B7 00 01 FF -93 80 00 F0 37 11 0F 0F 13 01 F1 F0 33 C1 20 00 -B7 FE 0F F0 93 8E FE 00 93 01 70 00 63 16 D1 3F -B7 00 01 FF 93 80 00 F0 B3 C0 10 00 93 0E 00 00 -93 01 80 00 63 9A D0 3D 13 02 00 00 B7 00 01 FF -93 80 00 F0 37 11 0F 0F 13 01 F1 F0 33 CF 20 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE -B7 FE 0F F0 93 8E FE 00 93 01 90 00 63 1E D3 39 -13 02 00 00 B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 -13 01 01 0F 33 CF 20 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E 01 FF -93 8E 0E F0 93 01 A0 00 63 10 D3 37 13 02 00 00 -B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 -33 CF 20 00 13 00 00 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 1C 52 FC B7 1E F0 0F -93 8E 0E FF 93 01 B0 00 63 10 D3 33 13 02 00 00 -B7 00 01 FF 93 80 00 F0 37 11 0F 0F 13 01 F1 F0 -33 CF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 FE 0F F0 93 8E FE 00 93 01 C0 00 63 16 DF 2F -13 02 00 00 B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 -13 01 01 0F 13 00 00 00 33 CF 20 00 13 02 12 00 -93 02 20 00 E3 10 52 FE B7 0E 01 FF 93 8E 0E F0 -93 01 D0 00 63 1A DF 2B 13 02 00 00 B7 00 FF 00 -93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 13 00 00 00 -13 00 00 00 33 CF 20 00 13 02 12 00 93 02 20 00 -E3 1E 52 FC B7 1E F0 0F 93 8E 0E FF 93 01 E0 00 -63 1C DF 27 13 02 00 00 B7 00 01 FF 93 80 00 F0 -13 00 00 00 37 11 0F 0F 13 01 F1 F0 33 CF 20 00 -13 02 12 00 93 02 20 00 E3 10 52 FE B7 FE 0F F0 -93 8E FE 00 93 01 F0 00 63 10 DF 25 13 02 00 00 -B7 10 F0 0F 93 80 00 FF 13 00 00 00 37 F1 F0 F0 -13 01 01 0F 13 00 00 00 33 CF 20 00 13 02 12 00 -93 02 20 00 E3 1E 52 FC B7 0E 01 FF 93 8E 0E F0 -93 01 00 01 63 12 DF 21 13 02 00 00 B7 00 FF 00 -93 80 F0 0F 13 00 00 00 13 00 00 00 37 11 0F 0F -13 01 F1 F0 33 CF 20 00 13 02 12 00 93 02 20 00 -E3 1E 52 FC B7 1E F0 0F 93 8E 0E FF 93 01 10 01 -63 14 DF 1D 13 02 00 00 37 11 0F 0F 13 01 F1 F0 -B7 00 01 FF 93 80 00 F0 33 CF 20 00 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 FE 0F F0 93 8E FE 00 -93 01 20 01 63 1A DF 19 13 02 00 00 37 F1 F0 F0 -13 01 01 0F B7 10 F0 0F 93 80 00 FF 13 00 00 00 -33 CF 20 00 13 02 12 00 93 02 20 00 E3 10 52 FE -B7 0E 01 FF 93 8E 0E F0 93 01 30 01 63 1E DF 15 -13 02 00 00 37 11 0F 0F 13 01 F1 F0 B7 00 FF 00 -93 80 F0 0F 13 00 00 00 13 00 00 00 33 CF 20 00 -13 02 12 00 93 02 20 00 E3 1E 52 FC B7 1E F0 0F -93 8E 0E FF 93 01 40 01 63 10 DF 13 13 02 00 00 -37 11 0F 0F 13 01 F1 F0 13 00 00 00 B7 00 01 FF -93 80 00 F0 33 CF 20 00 13 02 12 00 93 02 20 00 -E3 10 52 FE B7 FE 0F F0 93 8E FE 00 93 01 50 01 -63 14 DF 0F 13 02 00 00 37 F1 F0 F0 13 01 01 0F -13 00 00 00 B7 10 F0 0F 93 80 00 FF 13 00 00 00 -33 CF 20 00 13 02 12 00 93 02 20 00 E3 1E 52 FC -B7 0E 01 FF 93 8E 0E F0 93 01 60 01 63 16 DF 0B -13 02 00 00 37 11 0F 0F 13 01 F1 F0 13 00 00 00 -13 00 00 00 B7 00 FF 00 93 80 F0 0F 33 CF 20 00 -13 02 12 00 93 02 20 00 E3 1E 52 FC B7 1E F0 0F -93 8E 0E FF 93 01 70 01 63 18 DF 07 B7 00 01 FF -93 80 00 F0 33 41 10 00 B7 0E 01 FF 93 8E 0E F0 -93 01 80 01 63 1A D1 05 B7 00 FF 00 93 80 F0 0F -33 C1 00 00 B7 0E FF 00 93 8E FE 0F 93 01 90 01 -63 1C D1 03 B3 40 00 00 93 0E 00 00 93 01 A0 01 -63 94 D0 03 B7 10 11 11 93 80 10 11 37 21 22 22 -13 01 21 22 33 C0 20 00 93 0E 00 00 93 01 B0 01 -63 14 D0 01 63 18 30 00 13 0D 10 00 93 0D 00 00 -6F 00 00 00 13 0D 10 00 93 0D 10 00 6F 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000540 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 B7 00 01 FF 93 80 00 F0 +37 11 0F 0F 13 01 F1 F0 33 CF 20 00 B7 FE 0F F0 +93 8E FE 00 93 01 20 00 63 10 DF 4B B7 10 F0 0F +93 80 00 FF 37 F1 F0 F0 13 01 01 0F 33 CF 20 00 +B7 0E 01 FF 93 8E 0E F0 93 01 30 00 63 1E DF 47 +B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 +33 CF 20 00 B7 1E F0 0F 93 8E 0E FF 93 01 40 00 +63 1C DF 45 B7 F0 0F F0 93 80 F0 00 37 F1 F0 F0 +13 01 01 0F 33 CF 20 00 B7 0E FF 00 93 8E FE 0F +93 01 50 00 63 1A DF 43 B7 00 01 FF 93 80 00 F0 +37 11 0F 0F 13 01 F1 F0 B3 C0 20 00 B7 FE 0F F0 +93 8E FE 00 93 01 60 00 63 98 D0 41 B7 00 01 FF +93 80 00 F0 37 11 0F 0F 13 01 F1 F0 33 C1 20 00 +B7 FE 0F F0 93 8E FE 00 93 01 70 00 63 16 D1 3F +B7 00 01 FF 93 80 00 F0 B3 C0 10 00 93 0E 00 00 +93 01 80 00 63 9A D0 3D 13 02 00 00 B7 00 01 FF +93 80 00 F0 37 11 0F 0F 13 01 F1 F0 33 CF 20 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE +B7 FE 0F F0 93 8E FE 00 93 01 90 00 63 1E D3 39 +13 02 00 00 B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 +13 01 01 0F 33 CF 20 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 1E 52 FC B7 0E 01 FF +93 8E 0E F0 93 01 A0 00 63 10 D3 37 13 02 00 00 +B7 00 FF 00 93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 +33 CF 20 00 13 00 00 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 1C 52 FC B7 1E F0 0F +93 8E 0E FF 93 01 B0 00 63 10 D3 33 13 02 00 00 +B7 00 01 FF 93 80 00 F0 37 11 0F 0F 13 01 F1 F0 +33 CF 20 00 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 FE 0F F0 93 8E FE 00 93 01 C0 00 63 16 DF 2F +13 02 00 00 B7 10 F0 0F 93 80 00 FF 37 F1 F0 F0 +13 01 01 0F 13 00 00 00 33 CF 20 00 13 02 12 00 +93 02 20 00 E3 10 52 FE B7 0E 01 FF 93 8E 0E F0 +93 01 D0 00 63 1A DF 2B 13 02 00 00 B7 00 FF 00 +93 80 F0 0F 37 11 0F 0F 13 01 F1 F0 13 00 00 00 +13 00 00 00 33 CF 20 00 13 02 12 00 93 02 20 00 +E3 1E 52 FC B7 1E F0 0F 93 8E 0E FF 93 01 E0 00 +63 1C DF 27 13 02 00 00 B7 00 01 FF 93 80 00 F0 +13 00 00 00 37 11 0F 0F 13 01 F1 F0 33 CF 20 00 +13 02 12 00 93 02 20 00 E3 10 52 FE B7 FE 0F F0 +93 8E FE 00 93 01 F0 00 63 10 DF 25 13 02 00 00 +B7 10 F0 0F 93 80 00 FF 13 00 00 00 37 F1 F0 F0 +13 01 01 0F 13 00 00 00 33 CF 20 00 13 02 12 00 +93 02 20 00 E3 1E 52 FC B7 0E 01 FF 93 8E 0E F0 +93 01 00 01 63 12 DF 21 13 02 00 00 B7 00 FF 00 +93 80 F0 0F 13 00 00 00 13 00 00 00 37 11 0F 0F +13 01 F1 F0 33 CF 20 00 13 02 12 00 93 02 20 00 +E3 1E 52 FC B7 1E F0 0F 93 8E 0E FF 93 01 10 01 +63 14 DF 1D 13 02 00 00 37 11 0F 0F 13 01 F1 F0 +B7 00 01 FF 93 80 00 F0 33 CF 20 00 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 FE 0F F0 93 8E FE 00 +93 01 20 01 63 1A DF 19 13 02 00 00 37 F1 F0 F0 +13 01 01 0F B7 10 F0 0F 93 80 00 FF 13 00 00 00 +33 CF 20 00 13 02 12 00 93 02 20 00 E3 10 52 FE +B7 0E 01 FF 93 8E 0E F0 93 01 30 01 63 1E DF 15 +13 02 00 00 37 11 0F 0F 13 01 F1 F0 B7 00 FF 00 +93 80 F0 0F 13 00 00 00 13 00 00 00 33 CF 20 00 +13 02 12 00 93 02 20 00 E3 1E 52 FC B7 1E F0 0F +93 8E 0E FF 93 01 40 01 63 10 DF 13 13 02 00 00 +37 11 0F 0F 13 01 F1 F0 13 00 00 00 B7 00 01 FF +93 80 00 F0 33 CF 20 00 13 02 12 00 93 02 20 00 +E3 10 52 FE B7 FE 0F F0 93 8E FE 00 93 01 50 01 +63 14 DF 0F 13 02 00 00 37 F1 F0 F0 13 01 01 0F +13 00 00 00 B7 10 F0 0F 93 80 00 FF 13 00 00 00 +33 CF 20 00 13 02 12 00 93 02 20 00 E3 1E 52 FC +B7 0E 01 FF 93 8E 0E F0 93 01 60 01 63 16 DF 0B +13 02 00 00 37 11 0F 0F 13 01 F1 F0 13 00 00 00 +13 00 00 00 B7 00 FF 00 93 80 F0 0F 33 CF 20 00 +13 02 12 00 93 02 20 00 E3 1E 52 FC B7 1E F0 0F +93 8E 0E FF 93 01 70 01 63 18 DF 07 B7 00 01 FF +93 80 00 F0 33 41 10 00 B7 0E 01 FF 93 8E 0E F0 +93 01 80 01 63 1A D1 05 B7 00 FF 00 93 80 F0 0F +33 C1 00 00 B7 0E FF 00 93 8E FE 0F 93 01 90 01 +63 1C D1 03 B3 40 00 00 93 0E 00 00 93 01 A0 01 +63 94 D0 03 B7 10 11 11 93 80 10 11 37 21 22 22 +13 01 21 22 33 C0 20 00 93 0E 00 00 93 01 B0 01 +63 14 D0 01 63 18 30 00 93 0D 00 00 13 0D 10 00 +6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000540 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32ui-p-xori b/tests/isa/generated/rv32ui-p-xori old mode 100644 new mode 100755 index 8141dfe..3f704a0 Binary files a/tests/isa/generated/rv32ui-p-xori and b/tests/isa/generated/rv32ui-p-xori differ diff --git a/tests/isa/generated/rv32ui-p-xori.bin b/tests/isa/generated/rv32ui-p-xori.bin old mode 100644 new mode 100755 index 4d1ede4..2d7b95f Binary files a/tests/isa/generated/rv32ui-p-xori.bin and b/tests/isa/generated/rv32ui-p-xori.bin differ diff --git a/tests/isa/generated/rv32ui-p-xori.dump b/tests/isa/generated/rv32ui-p-xori.dump index eaeda26..1efb045 100644 --- a/tests/isa/generated/rv32ui-p-xori.dump +++ b/tests/isa/generated/rv32ui-p-xori.dump @@ -156,15 +156,15 @@ Disassembly of section .text.init: 1e8: 00301863 bne zero,gp,1f8 000001ec : - 1ec: 00100d13 li s10,1 - 1f0: 00000d93 li s11,0 + 1ec: 00000d93 li s11,0 + 1f0: 00100d13 li s10,1 000001f4 : 1f4: 0000006f j 1f4 000001f8 : - 1f8: 00100d13 li s10,1 - 1fc: 00100d93 li s11,1 + 1f8: 00100d93 li s11,1 + 1fc: 00100d13 li s10,1 00000200 : 200: 0000006f j 200 @@ -176,3 +176,18 @@ Disassembly of section .tohost: 00000280 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1941 addi s2,s2,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0000000f fence unknown,unknown + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 0030 addi a2,sp,8 diff --git a/tests/isa/generated/rv32ui-p-xori.mem b/tests/isa/generated/rv32ui-p-xori.mem new file mode 100644 index 0000000..059eeb4 --- /dev/null +++ b/tests/isa/generated/rv32ui-p-xori.mem @@ -0,0 +1,162 @@ +00000d13 +00000d93 +00ff10b7 +f0008093 +f0f0cf13 +ff00feb7 +00fe8e93 +00200193 +1ddf1663 +0ff010b7 +ff008093 +0f00cf13 +0ff01eb7 +f00e8e93 +00300193 +1bdf1863 +00ff10b7 +8ff08093 +70f0cf13 +00ff1eb7 +ff0e8e93 +00400193 +19df1a63 +f00ff0b7 +00f08093 +0f00cf13 +f00ffeb7 +0ffe8e93 +00500193 +17df1c63 +ff00f0b7 +70008093 +70f0c093 +ff00feb7 +00fe8e93 +00600193 +15d09e63 +00000213 +0ff010b7 +ff008093 +0f00cf13 +000f0313 +00120213 +00200293 +fe5214e3 +0ff01eb7 +f00e8e93 +00700193 +13d31663 +00000213 +00ff10b7 +8ff08093 +70f0cf13 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00ff1eb7 +ff0e8e93 +00800193 +0fd31c63 +00000213 +f00ff0b7 +00f08093 +0f00cf13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +f00ffeb7 +0ffe8e93 +00900193 +0dd31063 +00000213 +0ff010b7 +ff008093 +0f00cf13 +00120213 +00200293 +fe5216e3 +0ff01eb7 +f00e8e93 +00a00193 +09df1a63 +00000213 +00ff10b7 +fff08093 +00000013 +00f0cf13 +00120213 +00200293 +fe5214e3 +00ff1eb7 +ff0e8e93 +00b00193 +07df1263 +00000213 +f00ff0b7 +00f08093 +00000013 +00000013 +0f00cf13 +00120213 +00200293 +fe5212e3 +f00ffeb7 +0ffe8e93 +00c00193 +03df1863 +0f004093 +0f000e93 +00d00193 +03d09063 +00ff00b7 +0ff08093 +70f0c013 +00000e93 +00e00193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32ui-p-xori.verilog b/tests/isa/generated/rv32ui-p-xori.verilog old mode 100644 new mode 100755 index 9a39da8..2c51557 --- a/tests/isa/generated/rv32ui-p-xori.verilog +++ b/tests/isa/generated/rv32ui-p-xori.verilog @@ -1,40 +1,40 @@ -@00000000 -13 0D 00 00 93 0D 00 00 B7 10 FF 00 93 80 00 F0 -13 CF F0 F0 B7 FE 00 FF 93 8E FE 00 93 01 20 00 -63 16 DF 1D B7 10 F0 0F 93 80 00 FF 13 CF 00 0F -B7 1E F0 0F 93 8E 0E F0 93 01 30 00 63 18 DF 1B -B7 10 FF 00 93 80 F0 8F 13 CF F0 70 B7 1E FF 00 -93 8E 0E FF 93 01 40 00 63 1A DF 19 B7 F0 0F F0 -93 80 F0 00 13 CF 00 0F B7 FE 0F F0 93 8E FE 0F -93 01 50 00 63 1C DF 17 B7 F0 00 FF 93 80 00 70 -93 C0 F0 70 B7 FE 00 FF 93 8E FE 00 93 01 60 00 -63 9E D0 15 13 02 00 00 B7 10 F0 0F 93 80 00 FF -13 CF 00 0F 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 14 52 FE B7 1E F0 0F 93 8E 0E F0 93 01 70 00 -63 16 D3 13 13 02 00 00 B7 10 FF 00 93 80 F0 8F -13 CF F0 70 13 00 00 00 13 03 0F 00 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 1E FF 00 93 8E 0E FF -93 01 80 00 63 1C D3 0F 13 02 00 00 B7 F0 0F F0 -93 80 F0 00 13 CF 00 0F 13 00 00 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE -B7 FE 0F F0 93 8E FE 0F 93 01 90 00 63 10 D3 0D -13 02 00 00 B7 10 F0 0F 93 80 00 FF 13 CF 00 0F -13 02 12 00 93 02 20 00 E3 16 52 FE B7 1E F0 0F -93 8E 0E F0 93 01 A0 00 63 1A DF 09 13 02 00 00 -B7 10 FF 00 93 80 F0 FF 13 00 00 00 13 CF F0 00 -13 02 12 00 93 02 20 00 E3 14 52 FE B7 1E FF 00 -93 8E 0E FF 93 01 B0 00 63 12 DF 07 13 02 00 00 -B7 F0 0F F0 93 80 F0 00 13 00 00 00 13 00 00 00 -13 CF 00 0F 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 FE 0F F0 93 8E FE 0F 93 01 C0 00 63 18 DF 03 -93 40 00 0F 93 0E 00 0F 93 01 D0 00 63 90 D0 03 -B7 00 FF 00 93 80 F0 0F 13 C0 F0 70 93 0E 00 00 -93 01 E0 00 63 14 D0 01 63 18 30 00 13 0D 10 00 -93 0D 00 00 6F 00 00 00 13 0D 10 00 93 0D 10 00 -6F 00 00 00 -@00000240 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 B7 10 FF 00 93 80 00 F0 +13 CF F0 F0 B7 FE 00 FF 93 8E FE 00 93 01 20 00 +63 16 DF 1D B7 10 F0 0F 93 80 00 FF 13 CF 00 0F +B7 1E F0 0F 93 8E 0E F0 93 01 30 00 63 18 DF 1B +B7 10 FF 00 93 80 F0 8F 13 CF F0 70 B7 1E FF 00 +93 8E 0E FF 93 01 40 00 63 1A DF 19 B7 F0 0F F0 +93 80 F0 00 13 CF 00 0F B7 FE 0F F0 93 8E FE 0F +93 01 50 00 63 1C DF 17 B7 F0 00 FF 93 80 00 70 +93 C0 F0 70 B7 FE 00 FF 93 8E FE 00 93 01 60 00 +63 9E D0 15 13 02 00 00 B7 10 F0 0F 93 80 00 FF +13 CF 00 0F 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 14 52 FE B7 1E F0 0F 93 8E 0E F0 93 01 70 00 +63 16 D3 13 13 02 00 00 B7 10 FF 00 93 80 F0 8F +13 CF F0 70 13 00 00 00 13 03 0F 00 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 1E FF 00 93 8E 0E FF +93 01 80 00 63 1C D3 0F 13 02 00 00 B7 F0 0F F0 +93 80 F0 00 13 CF 00 0F 13 00 00 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE +B7 FE 0F F0 93 8E FE 0F 93 01 90 00 63 10 D3 0D +13 02 00 00 B7 10 F0 0F 93 80 00 FF 13 CF 00 0F +13 02 12 00 93 02 20 00 E3 16 52 FE B7 1E F0 0F +93 8E 0E F0 93 01 A0 00 63 1A DF 09 13 02 00 00 +B7 10 FF 00 93 80 F0 FF 13 00 00 00 13 CF F0 00 +13 02 12 00 93 02 20 00 E3 14 52 FE B7 1E FF 00 +93 8E 0E FF 93 01 B0 00 63 12 DF 07 13 02 00 00 +B7 F0 0F F0 93 80 F0 00 13 00 00 00 13 00 00 00 +13 CF 00 0F 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 FE 0F F0 93 8E FE 0F 93 01 C0 00 63 18 DF 03 +93 40 00 0F 93 0E 00 0F 93 01 D0 00 63 90 D0 03 +B7 00 FF 00 93 80 F0 0F 13 C0 F0 70 93 0E 00 00 +93 01 E0 00 63 14 D0 01 63 18 30 00 93 0D 00 00 +13 0D 10 00 6F 00 00 00 93 0D 10 00 13 0D 10 00 +6F 00 00 00 +@00000240 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32um-p-div b/tests/isa/generated/rv32um-p-div old mode 100644 new mode 100755 index 3d38e37..52f8fa6 Binary files a/tests/isa/generated/rv32um-p-div and b/tests/isa/generated/rv32um-p-div differ diff --git a/tests/isa/generated/rv32um-p-div.bin b/tests/isa/generated/rv32um-p-div.bin old mode 100644 new mode 100755 index e924064..9206220 Binary files a/tests/isa/generated/rv32um-p-div.bin and b/tests/isa/generated/rv32um-p-div.bin differ diff --git a/tests/isa/generated/rv32um-p-div.dump b/tests/isa/generated/rv32um-p-div.dump index 7a5444a..e906f47 100644 --- a/tests/isa/generated/rv32um-p-div.dump +++ b/tests/isa/generated/rv32um-p-div.dump @@ -82,15 +82,15 @@ Disassembly of section .text.init: e0: 00301863 bne zero,gp,f0 000000e4 : - e4: 00100d13 li s10,1 - e8: 00000d93 li s11,0 + e4: 00000d93 li s11,0 + e8: 00100d13 li s10,1 000000ec : ec: 0000006f j ec 000000f0 : - f0: 00100d13 li s10,1 - f4: 00100d93 li s11,1 + f0: 00100d93 li s11,1 + f4: 00100d13 li s10,1 000000f8 : f8: 0000006f j f8 @@ -103,3 +103,22 @@ Disassembly of section .tohost: 00000180 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1e41 addi t3,t3,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0014 0x14 + e: 0000 unimp + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 5f30 lw a2,120(a4) + 1a: 326d jal fffff9c4 + 1c: 3070 fld fa2,224(s0) + ... diff --git a/tests/isa/generated/rv32um-p-div.mem b/tests/isa/generated/rv32um-p-div.mem new file mode 100644 index 0000000..2f0240c --- /dev/null +++ b/tests/isa/generated/rv32um-p-div.mem @@ -0,0 +1,98 @@ +00000d13 +00000d93 +01400093 +00600113 +0220cf33 +00300e93 +00200193 +0ddf1463 +fec00093 +00600113 +0220cf33 +ffd00e93 +00300193 +0bdf1863 +01400093 +ffa00113 +0220cf33 +ffd00e93 +00400193 +09df1c63 +fec00093 +ffa00113 +0220cf33 +00300e93 +00500193 +09df1063 +00000093 +00100113 +0220cf33 +00000e93 +00600193 +07df1463 +00000093 +fff00113 +0220cf33 +00000e93 +00700193 +05df1863 +00000093 +00000113 +0220cf33 +fff00e93 +00800193 +03df1c63 +00100093 +00000113 +0220cf33 +fff00e93 +00900193 +03df1063 +00000093 +00000113 +0220cf33 +fff00e93 +00a00193 +01df1463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32um-p-div.verilog b/tests/isa/generated/rv32um-p-div.verilog old mode 100644 new mode 100755 index 9a61cda..ef02e10 --- a/tests/isa/generated/rv32um-p-div.verilog +++ b/tests/isa/generated/rv32um-p-div.verilog @@ -1,24 +1,24 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 40 01 13 01 60 00 -33 CF 20 02 93 0E 30 00 93 01 20 00 63 14 DF 0D -93 00 C0 FE 13 01 60 00 33 CF 20 02 93 0E D0 FF -93 01 30 00 63 18 DF 0B 93 00 40 01 13 01 A0 FF -33 CF 20 02 93 0E D0 FF 93 01 40 00 63 1C DF 09 -93 00 C0 FE 13 01 A0 FF 33 CF 20 02 93 0E 30 00 -93 01 50 00 63 10 DF 09 93 00 00 00 13 01 10 00 -33 CF 20 02 93 0E 00 00 93 01 60 00 63 14 DF 07 -93 00 00 00 13 01 F0 FF 33 CF 20 02 93 0E 00 00 -93 01 70 00 63 18 DF 05 93 00 00 00 13 01 00 00 -33 CF 20 02 93 0E F0 FF 93 01 80 00 63 1C DF 03 -93 00 10 00 13 01 00 00 33 CF 20 02 93 0E F0 FF -93 01 90 00 63 10 DF 03 93 00 00 00 13 01 00 00 -33 CF 20 02 93 0E F0 FF 93 01 A0 00 63 14 DF 01 -63 18 30 00 13 0D 10 00 93 0D 00 00 6F 00 00 00 -13 0D 10 00 93 0D 10 00 6F 00 00 00 00 00 00 00 -00 00 00 00 -@00000140 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 40 01 13 01 60 00 +33 CF 20 02 93 0E 30 00 93 01 20 00 63 14 DF 0D +93 00 C0 FE 13 01 60 00 33 CF 20 02 93 0E D0 FF +93 01 30 00 63 18 DF 0B 93 00 40 01 13 01 A0 FF +33 CF 20 02 93 0E D0 FF 93 01 40 00 63 1C DF 09 +93 00 C0 FE 13 01 A0 FF 33 CF 20 02 93 0E 30 00 +93 01 50 00 63 10 DF 09 93 00 00 00 13 01 10 00 +33 CF 20 02 93 0E 00 00 93 01 60 00 63 14 DF 07 +93 00 00 00 13 01 F0 FF 33 CF 20 02 93 0E 00 00 +93 01 70 00 63 18 DF 05 93 00 00 00 13 01 00 00 +33 CF 20 02 93 0E F0 FF 93 01 80 00 63 1C DF 03 +93 00 10 00 13 01 00 00 33 CF 20 02 93 0E F0 FF +93 01 90 00 63 10 DF 03 93 00 00 00 13 01 00 00 +33 CF 20 02 93 0E F0 FF 93 01 A0 00 63 14 DF 01 +63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00 +93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00 +00 00 00 00 +@00000140 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32um-p-divu b/tests/isa/generated/rv32um-p-divu old mode 100644 new mode 100755 index 5c13d7c..ca0f3ba Binary files a/tests/isa/generated/rv32um-p-divu and b/tests/isa/generated/rv32um-p-divu differ diff --git a/tests/isa/generated/rv32um-p-divu.bin b/tests/isa/generated/rv32um-p-divu.bin old mode 100644 new mode 100755 index 9bfee71..95b1688 Binary files a/tests/isa/generated/rv32um-p-divu.bin and b/tests/isa/generated/rv32um-p-divu.bin differ diff --git a/tests/isa/generated/rv32um-p-divu.dump b/tests/isa/generated/rv32um-p-divu.dump index 12d7306..9b3c462 100644 --- a/tests/isa/generated/rv32um-p-divu.dump +++ b/tests/isa/generated/rv32um-p-divu.dump @@ -83,15 +83,15 @@ Disassembly of section .text.init: e4: 00301863 bne zero,gp,f4 000000e8 : - e8: 00100d13 li s10,1 - ec: 00000d93 li s11,0 + e8: 00000d93 li s11,0 + ec: 00100d13 li s10,1 000000f0 : f0: 0000006f j f0 000000f4 : - f4: 00100d13 li s10,1 - f8: 00100d93 li s11,1 + f4: 00100d93 li s11,1 + f8: 00100d13 li s10,1 000000fc : fc: 0000006f j fc @@ -105,3 +105,22 @@ Disassembly of section .tohost: 00000180 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1e41 addi t3,t3,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0014 0x14 + e: 0000 unimp + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 5f30 lw a2,120(a4) + 1a: 326d jal fffff9c4 + 1c: 3070 fld fa2,224(s0) + ... diff --git a/tests/isa/generated/rv32um-p-divu.mem b/tests/isa/generated/rv32um-p-divu.mem new file mode 100644 index 0000000..0686389 --- /dev/null +++ b/tests/isa/generated/rv32um-p-divu.mem @@ -0,0 +1,98 @@ +00000d13 +00000d93 +01400093 +00600113 +0220df33 +00300e93 +00200193 +0ddf1663 +fec00093 +00600113 +0220df33 +2aaabeb7 +aa7e8e93 +00300193 +0bdf1863 +01400093 +ffa00113 +0220df33 +00000e93 +00400193 +09df1c63 +fec00093 +ffa00113 +0220df33 +00000e93 +00500193 +09df1063 +800000b7 +00100113 +0220df33 +80000eb7 +00600193 +07df1463 +800000b7 +fff00113 +0220df33 +00000e93 +00700193 +05df1863 +800000b7 +00000113 +0220df33 +fff00e93 +00800193 +03df1c63 +00100093 +00000113 +0220df33 +fff00e93 +00900193 +03df1063 +00000093 +00000113 +0220df33 +fff00e93 +00a00193 +01df1463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32um-p-divu.verilog b/tests/isa/generated/rv32um-p-divu.verilog old mode 100644 new mode 100755 index eeb0965..4de3251 --- a/tests/isa/generated/rv32um-p-divu.verilog +++ b/tests/isa/generated/rv32um-p-divu.verilog @@ -1,24 +1,24 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 40 01 13 01 60 00 -33 DF 20 02 93 0E 30 00 93 01 20 00 63 16 DF 0D -93 00 C0 FE 13 01 60 00 33 DF 20 02 B7 BE AA 2A -93 8E 7E AA 93 01 30 00 63 18 DF 0B 93 00 40 01 -13 01 A0 FF 33 DF 20 02 93 0E 00 00 93 01 40 00 -63 1C DF 09 93 00 C0 FE 13 01 A0 FF 33 DF 20 02 -93 0E 00 00 93 01 50 00 63 10 DF 09 B7 00 00 80 -13 01 10 00 33 DF 20 02 B7 0E 00 80 93 01 60 00 -63 14 DF 07 B7 00 00 80 13 01 F0 FF 33 DF 20 02 -93 0E 00 00 93 01 70 00 63 18 DF 05 B7 00 00 80 -13 01 00 00 33 DF 20 02 93 0E F0 FF 93 01 80 00 -63 1C DF 03 93 00 10 00 13 01 00 00 33 DF 20 02 -93 0E F0 FF 93 01 90 00 63 10 DF 03 93 00 00 00 -13 01 00 00 33 DF 20 02 93 0E F0 FF 93 01 A0 00 -63 14 DF 01 63 18 30 00 13 0D 10 00 93 0D 00 00 -6F 00 00 00 13 0D 10 00 93 0D 10 00 6F 00 00 00 -00 00 00 00 -@00000140 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 40 01 13 01 60 00 +33 DF 20 02 93 0E 30 00 93 01 20 00 63 16 DF 0D +93 00 C0 FE 13 01 60 00 33 DF 20 02 B7 BE AA 2A +93 8E 7E AA 93 01 30 00 63 18 DF 0B 93 00 40 01 +13 01 A0 FF 33 DF 20 02 93 0E 00 00 93 01 40 00 +63 1C DF 09 93 00 C0 FE 13 01 A0 FF 33 DF 20 02 +93 0E 00 00 93 01 50 00 63 10 DF 09 B7 00 00 80 +13 01 10 00 33 DF 20 02 B7 0E 00 80 93 01 60 00 +63 14 DF 07 B7 00 00 80 13 01 F0 FF 33 DF 20 02 +93 0E 00 00 93 01 70 00 63 18 DF 05 B7 00 00 80 +13 01 00 00 33 DF 20 02 93 0E F0 FF 93 01 80 00 +63 1C DF 03 93 00 10 00 13 01 00 00 33 DF 20 02 +93 0E F0 FF 93 01 90 00 63 10 DF 03 93 00 00 00 +13 01 00 00 33 DF 20 02 93 0E F0 FF 93 01 A0 00 +63 14 DF 01 63 18 30 00 93 0D 00 00 13 0D 10 00 +6F 00 00 00 93 0D 10 00 13 0D 10 00 6F 00 00 00 +00 00 00 00 +@00000140 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32um-p-mul b/tests/isa/generated/rv32um-p-mul old mode 100644 new mode 100755 index 486feff..d690924 Binary files a/tests/isa/generated/rv32um-p-mul and b/tests/isa/generated/rv32um-p-mul differ diff --git a/tests/isa/generated/rv32um-p-mul.bin b/tests/isa/generated/rv32um-p-mul.bin old mode 100644 new mode 100755 index 0768a12..285213a Binary files a/tests/isa/generated/rv32um-p-mul.bin and b/tests/isa/generated/rv32um-p-mul.bin differ diff --git a/tests/isa/generated/rv32um-p-mul.dump b/tests/isa/generated/rv32um-p-mul.dump index eaa7fe9..67f8b01 100644 --- a/tests/isa/generated/rv32um-p-mul.dump +++ b/tests/isa/generated/rv32um-p-mul.dump @@ -387,15 +387,15 @@ Disassembly of section .text.init: 4cc: 00301863 bne zero,gp,4dc 000004d0 : - 4d0: 00100d13 li s10,1 - 4d4: 00000d93 li s11,0 + 4d0: 00000d93 li s11,0 + 4d4: 00100d13 li s10,1 000004d8 : 4d8: 0000006f j 4d8 000004dc : - 4dc: 00100d13 li s10,1 - 4e0: 00100d93 li s11,1 + 4dc: 00100d93 li s11,1 + 4e0: 00100d13 li s10,1 000004e4 : 4e4: 0000006f j 4e4 @@ -408,3 +408,22 @@ Disassembly of section .tohost: 00000580 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1e41 addi t3,t3,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0014 0x14 + e: 0000 unimp + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 5f30 lw a2,120(a4) + 1a: 326d jal fffff9c4 + 1c: 3070 fld fa2,224(s0) + ... diff --git a/tests/isa/generated/rv32um-p-mul.mem b/tests/isa/generated/rv32um-p-mul.mem new file mode 100644 index 0000000..8cd19b8 --- /dev/null +++ b/tests/isa/generated/rv32um-p-mul.mem @@ -0,0 +1,354 @@ +00000d13 +00000d93 +000080b7 +e0008093 +b6db7137 +db710113 +02208f33 +00001eb7 +200e8e93 +02000193 +4bdf1463 +000080b7 +fc008093 +b6db7137 +db710113 +02208f33 +00001eb7 +240e8e93 +02100193 +49df1263 +00000093 +00000113 +02208f33 +00000e93 +00200193 +47df1663 +00100093 +00100113 +02208f33 +00100e93 +00300193 +45df1a63 +00300093 +00700113 +02208f33 +01500e93 +00400193 +43df1e63 +00000093 +ffff8137 +02208f33 +00000e93 +00500193 +43df1263 +800000b7 +00000113 +02208f33 +00000e93 +00600193 +41df1663 +800000b7 +ffff8137 +02208f33 +00000e93 +00700193 +3fdf1a63 +aaaab0b7 +aab08093 +00030137 +e7d10113 +02208f33 +00010eb7 +f7fe8e93 +01e00193 +3ddf1863 +000300b7 +e7d08093 +aaaab137 +aab10113 +02208f33 +00010eb7 +f7fe8e93 +01f00193 +3bdf1663 +ff0000b7 +ff000137 +02208f33 +00000e93 +02200193 +39df1a63 +fff00093 +fff00113 +02208f33 +00100e93 +02300193 +37df1e63 +fff00093 +00100113 +02208f33 +fff00e93 +02400193 +37df1263 +00100093 +fff00113 +02208f33 +fff00e93 +02500193 +35df1663 +00d00093 +00b00113 +022080b3 +08f00e93 +00800193 +33d09a63 +00e00093 +00b00113 +02208133 +09a00e93 +00900193 +31d11e63 +00d00093 +021080b3 +0a900e93 +00a00193 +31d09463 +00000213 +00d00093 +00b00113 +02208f33 +000f0313 +00120213 +00200293 +fe5214e3 +08f00e93 +00b00193 +2dd31e63 +00000213 +00e00093 +00b00113 +02208f33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +09a00e93 +00c00193 +2bd31663 +00000213 +00f00093 +00b00113 +02208f33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +0a500e93 +00d00193 +27d31c63 +00000213 +00d00093 +00b00113 +02208f33 +00120213 +00200293 +fe5216e3 +08f00e93 +00e00193 +25df1863 +00000213 +00e00093 +00b00113 +00000013 +02208f33 +00120213 +00200293 +fe5214e3 +09a00e93 +00f00193 +23df1263 +00000213 +00f00093 +00b00113 +00000013 +00000013 +02208f33 +00120213 +00200293 +fe5212e3 +0a500e93 +01000193 +1fdf1a63 +00000213 +00d00093 +00000013 +00b00113 +02208f33 +00120213 +00200293 +fe5214e3 +08f00e93 +01100193 +1ddf1463 +00000213 +00e00093 +00000013 +00b00113 +00000013 +02208f33 +00120213 +00200293 +fe5212e3 +09a00e93 +01200193 +19df1c63 +00000213 +00f00093 +00000013 +00000013 +00b00113 +02208f33 +00120213 +00200293 +fe5212e3 +0a500e93 +01300193 +17df1463 +00000213 +00b00113 +00d00093 +02208f33 +00120213 +00200293 +fe5216e3 +08f00e93 +01400193 +15df1063 +00000213 +00b00113 +00e00093 +00000013 +02208f33 +00120213 +00200293 +fe5214e3 +09a00e93 +01500193 +11df1a63 +00000213 +00b00113 +00f00093 +00000013 +00000013 +02208f33 +00120213 +00200293 +fe5212e3 +0a500e93 +01600193 +0fdf1263 +00000213 +00b00113 +00000013 +00d00093 +02208f33 +00120213 +00200293 +fe5214e3 +08f00e93 +01700193 +0bdf1c63 +00000213 +00b00113 +00000013 +00e00093 +00000013 +02208f33 +00120213 +00200293 +fe5212e3 +09a00e93 +01800193 +09df1463 +00000213 +00b00113 +00000013 +00000013 +00f00093 +02208f33 +00120213 +00200293 +fe5212e3 +0a500e93 +01900193 +05df1c63 +01f00093 +02100133 +00000e93 +01a00193 +05d11263 +02000093 +02008133 +00000e93 +01b00193 +03d11863 +020000b3 +00000e93 +01c00193 +03d09063 +02100093 +02200113 +02208033 +00000e93 +01d00193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32um-p-mul.verilog b/tests/isa/generated/rv32um-p-mul.verilog old mode 100644 new mode 100755 index f964118..8ebe874 --- a/tests/isa/generated/rv32um-p-mul.verilog +++ b/tests/isa/generated/rv32um-p-mul.verilog @@ -1,88 +1,88 @@ -@00000000 -13 0D 00 00 93 0D 00 00 B7 80 00 00 93 80 00 E0 -37 71 DB B6 13 01 71 DB 33 8F 20 02 B7 1E 00 00 -93 8E 0E 20 93 01 00 02 63 14 DF 4B B7 80 00 00 -93 80 00 FC 37 71 DB B6 13 01 71 DB 33 8F 20 02 -B7 1E 00 00 93 8E 0E 24 93 01 10 02 63 12 DF 49 -93 00 00 00 13 01 00 00 33 8F 20 02 93 0E 00 00 -93 01 20 00 63 16 DF 47 93 00 10 00 13 01 10 00 -33 8F 20 02 93 0E 10 00 93 01 30 00 63 1A DF 45 -93 00 30 00 13 01 70 00 33 8F 20 02 93 0E 50 01 -93 01 40 00 63 1E DF 43 93 00 00 00 37 81 FF FF -33 8F 20 02 93 0E 00 00 93 01 50 00 63 12 DF 43 -B7 00 00 80 13 01 00 00 33 8F 20 02 93 0E 00 00 -93 01 60 00 63 16 DF 41 B7 00 00 80 37 81 FF FF -33 8F 20 02 93 0E 00 00 93 01 70 00 63 1A DF 3F -B7 B0 AA AA 93 80 B0 AA 37 01 03 00 13 01 D1 E7 -33 8F 20 02 B7 0E 01 00 93 8E FE F7 93 01 E0 01 -63 18 DF 3D B7 00 03 00 93 80 D0 E7 37 B1 AA AA -13 01 B1 AA 33 8F 20 02 B7 0E 01 00 93 8E FE F7 -93 01 F0 01 63 16 DF 3B B7 00 00 FF 37 01 00 FF -33 8F 20 02 93 0E 00 00 93 01 20 02 63 1A DF 39 -93 00 F0 FF 13 01 F0 FF 33 8F 20 02 93 0E 10 00 -93 01 30 02 63 1E DF 37 93 00 F0 FF 13 01 10 00 -33 8F 20 02 93 0E F0 FF 93 01 40 02 63 12 DF 37 -93 00 10 00 13 01 F0 FF 33 8F 20 02 93 0E F0 FF -93 01 50 02 63 16 DF 35 93 00 D0 00 13 01 B0 00 -B3 80 20 02 93 0E F0 08 93 01 80 00 63 9A D0 33 -93 00 E0 00 13 01 B0 00 33 81 20 02 93 0E A0 09 -93 01 90 00 63 1E D1 31 93 00 D0 00 B3 80 10 02 -93 0E 90 0A 93 01 A0 00 63 94 D0 31 13 02 00 00 -93 00 D0 00 13 01 B0 00 33 8F 20 02 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E F0 08 -93 01 B0 00 63 1E D3 2D 13 02 00 00 93 00 E0 00 -13 01 B0 00 33 8F 20 02 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E A0 09 -93 01 C0 00 63 16 D3 2B 13 02 00 00 93 00 F0 00 -13 01 B0 00 33 8F 20 02 13 00 00 00 13 00 00 00 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE -93 0E 50 0A 93 01 D0 00 63 1C D3 27 13 02 00 00 -93 00 D0 00 13 01 B0 00 33 8F 20 02 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 0E F0 08 93 01 E0 00 -63 18 DF 25 13 02 00 00 93 00 E0 00 13 01 B0 00 -13 00 00 00 33 8F 20 02 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E A0 09 93 01 F0 00 63 12 DF 23 -13 02 00 00 93 00 F0 00 13 01 B0 00 13 00 00 00 -13 00 00 00 33 8F 20 02 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 50 0A 93 01 00 01 63 1A DF 1F -13 02 00 00 93 00 D0 00 13 00 00 00 13 01 B0 00 -33 8F 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE -93 0E F0 08 93 01 10 01 63 14 DF 1D 13 02 00 00 -93 00 E0 00 13 00 00 00 13 01 B0 00 13 00 00 00 -33 8F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E A0 09 93 01 20 01 63 1C DF 19 13 02 00 00 -93 00 F0 00 13 00 00 00 13 00 00 00 13 01 B0 00 -33 8F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 50 0A 93 01 30 01 63 14 DF 17 13 02 00 00 -13 01 B0 00 93 00 D0 00 33 8F 20 02 13 02 12 00 -93 02 20 00 E3 16 52 FE 93 0E F0 08 93 01 40 01 -63 10 DF 15 13 02 00 00 13 01 B0 00 93 00 E0 00 -13 00 00 00 33 8F 20 02 13 02 12 00 93 02 20 00 -E3 14 52 FE 93 0E A0 09 93 01 50 01 63 1A DF 11 -13 02 00 00 13 01 B0 00 93 00 F0 00 13 00 00 00 -13 00 00 00 33 8F 20 02 13 02 12 00 93 02 20 00 -E3 12 52 FE 93 0E 50 0A 93 01 60 01 63 12 DF 0F -13 02 00 00 13 01 B0 00 13 00 00 00 93 00 D0 00 -33 8F 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE -93 0E F0 08 93 01 70 01 63 1C DF 0B 13 02 00 00 -13 01 B0 00 13 00 00 00 93 00 E0 00 13 00 00 00 -33 8F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E A0 09 93 01 80 01 63 14 DF 09 13 02 00 00 -13 01 B0 00 13 00 00 00 13 00 00 00 93 00 F0 00 -33 8F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE -93 0E 50 0A 93 01 90 01 63 1C DF 05 93 00 F0 01 -33 01 10 02 93 0E 00 00 93 01 A0 01 63 12 D1 05 -93 00 00 02 33 81 00 02 93 0E 00 00 93 01 B0 01 -63 18 D1 03 B3 00 00 02 93 0E 00 00 93 01 C0 01 -63 90 D0 03 93 00 10 02 13 01 20 02 33 80 20 02 -93 0E 00 00 93 01 D0 01 63 14 D0 01 63 18 30 00 -13 0D 10 00 93 0D 00 00 6F 00 00 00 13 0D 10 00 -93 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000540 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 B7 80 00 00 93 80 00 E0 +37 71 DB B6 13 01 71 DB 33 8F 20 02 B7 1E 00 00 +93 8E 0E 20 93 01 00 02 63 14 DF 4B B7 80 00 00 +93 80 00 FC 37 71 DB B6 13 01 71 DB 33 8F 20 02 +B7 1E 00 00 93 8E 0E 24 93 01 10 02 63 12 DF 49 +93 00 00 00 13 01 00 00 33 8F 20 02 93 0E 00 00 +93 01 20 00 63 16 DF 47 93 00 10 00 13 01 10 00 +33 8F 20 02 93 0E 10 00 93 01 30 00 63 1A DF 45 +93 00 30 00 13 01 70 00 33 8F 20 02 93 0E 50 01 +93 01 40 00 63 1E DF 43 93 00 00 00 37 81 FF FF +33 8F 20 02 93 0E 00 00 93 01 50 00 63 12 DF 43 +B7 00 00 80 13 01 00 00 33 8F 20 02 93 0E 00 00 +93 01 60 00 63 16 DF 41 B7 00 00 80 37 81 FF FF +33 8F 20 02 93 0E 00 00 93 01 70 00 63 1A DF 3F +B7 B0 AA AA 93 80 B0 AA 37 01 03 00 13 01 D1 E7 +33 8F 20 02 B7 0E 01 00 93 8E FE F7 93 01 E0 01 +63 18 DF 3D B7 00 03 00 93 80 D0 E7 37 B1 AA AA +13 01 B1 AA 33 8F 20 02 B7 0E 01 00 93 8E FE F7 +93 01 F0 01 63 16 DF 3B B7 00 00 FF 37 01 00 FF +33 8F 20 02 93 0E 00 00 93 01 20 02 63 1A DF 39 +93 00 F0 FF 13 01 F0 FF 33 8F 20 02 93 0E 10 00 +93 01 30 02 63 1E DF 37 93 00 F0 FF 13 01 10 00 +33 8F 20 02 93 0E F0 FF 93 01 40 02 63 12 DF 37 +93 00 10 00 13 01 F0 FF 33 8F 20 02 93 0E F0 FF +93 01 50 02 63 16 DF 35 93 00 D0 00 13 01 B0 00 +B3 80 20 02 93 0E F0 08 93 01 80 00 63 9A D0 33 +93 00 E0 00 13 01 B0 00 33 81 20 02 93 0E A0 09 +93 01 90 00 63 1E D1 31 93 00 D0 00 B3 80 10 02 +93 0E 90 0A 93 01 A0 00 63 94 D0 31 13 02 00 00 +93 00 D0 00 13 01 B0 00 33 8F 20 02 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 14 52 FE 93 0E F0 08 +93 01 B0 00 63 1E D3 2D 13 02 00 00 93 00 E0 00 +13 01 B0 00 33 8F 20 02 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 12 52 FE 93 0E A0 09 +93 01 C0 00 63 16 D3 2B 13 02 00 00 93 00 F0 00 +13 01 B0 00 33 8F 20 02 13 00 00 00 13 00 00 00 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 10 52 FE +93 0E 50 0A 93 01 D0 00 63 1C D3 27 13 02 00 00 +93 00 D0 00 13 01 B0 00 33 8F 20 02 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 0E F0 08 93 01 E0 00 +63 18 DF 25 13 02 00 00 93 00 E0 00 13 01 B0 00 +13 00 00 00 33 8F 20 02 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E A0 09 93 01 F0 00 63 12 DF 23 +13 02 00 00 93 00 F0 00 13 01 B0 00 13 00 00 00 +13 00 00 00 33 8F 20 02 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 50 0A 93 01 00 01 63 1A DF 1F +13 02 00 00 93 00 D0 00 13 00 00 00 13 01 B0 00 +33 8F 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE +93 0E F0 08 93 01 10 01 63 14 DF 1D 13 02 00 00 +93 00 E0 00 13 00 00 00 13 01 B0 00 13 00 00 00 +33 8F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E A0 09 93 01 20 01 63 1C DF 19 13 02 00 00 +93 00 F0 00 13 00 00 00 13 00 00 00 13 01 B0 00 +33 8F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 50 0A 93 01 30 01 63 14 DF 17 13 02 00 00 +13 01 B0 00 93 00 D0 00 33 8F 20 02 13 02 12 00 +93 02 20 00 E3 16 52 FE 93 0E F0 08 93 01 40 01 +63 10 DF 15 13 02 00 00 13 01 B0 00 93 00 E0 00 +13 00 00 00 33 8F 20 02 13 02 12 00 93 02 20 00 +E3 14 52 FE 93 0E A0 09 93 01 50 01 63 1A DF 11 +13 02 00 00 13 01 B0 00 93 00 F0 00 13 00 00 00 +13 00 00 00 33 8F 20 02 13 02 12 00 93 02 20 00 +E3 12 52 FE 93 0E 50 0A 93 01 60 01 63 12 DF 0F +13 02 00 00 13 01 B0 00 13 00 00 00 93 00 D0 00 +33 8F 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE +93 0E F0 08 93 01 70 01 63 1C DF 0B 13 02 00 00 +13 01 B0 00 13 00 00 00 93 00 E0 00 13 00 00 00 +33 8F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E A0 09 93 01 80 01 63 14 DF 09 13 02 00 00 +13 01 B0 00 13 00 00 00 13 00 00 00 93 00 F0 00 +33 8F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE +93 0E 50 0A 93 01 90 01 63 1C DF 05 93 00 F0 01 +33 01 10 02 93 0E 00 00 93 01 A0 01 63 12 D1 05 +93 00 00 02 33 81 00 02 93 0E 00 00 93 01 B0 01 +63 18 D1 03 B3 00 00 02 93 0E 00 00 93 01 C0 01 +63 90 D0 03 93 00 10 02 13 01 20 02 33 80 20 02 +93 0E 00 00 93 01 D0 01 63 14 D0 01 63 18 30 00 +93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 +13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000540 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32um-p-mulh b/tests/isa/generated/rv32um-p-mulh old mode 100644 new mode 100755 index 1d9f6b2..d4799dc Binary files a/tests/isa/generated/rv32um-p-mulh and b/tests/isa/generated/rv32um-p-mulh differ diff --git a/tests/isa/generated/rv32um-p-mulh.bin b/tests/isa/generated/rv32um-p-mulh.bin old mode 100644 new mode 100755 index 062d548..cb6bc8e Binary files a/tests/isa/generated/rv32um-p-mulh.bin and b/tests/isa/generated/rv32um-p-mulh.bin differ diff --git a/tests/isa/generated/rv32um-p-mulh.dump b/tests/isa/generated/rv32um-p-mulh.dump index 3f0ce4f..4c7d8f1 100644 --- a/tests/isa/generated/rv32um-p-mulh.dump +++ b/tests/isa/generated/rv32um-p-mulh.dump @@ -383,15 +383,15 @@ Disassembly of section .text.init: 4cc: 00301863 bne zero,gp,4dc 000004d0 : - 4d0: 00100d13 li s10,1 - 4d4: 00000d93 li s11,0 + 4d0: 00000d93 li s11,0 + 4d4: 00100d13 li s10,1 000004d8 : 4d8: 0000006f j 4d8 000004dc : - 4dc: 00100d13 li s10,1 - 4e0: 00100d93 li s11,1 + 4dc: 00100d93 li s11,1 + 4e0: 00100d13 li s10,1 000004e4 : 4e4: 0000006f j 4e4 @@ -404,3 +404,22 @@ Disassembly of section .tohost: 00000580 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1e41 addi t3,t3,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0014 0x14 + e: 0000 unimp + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 5f30 lw a2,120(a4) + 1a: 326d jal fffff9c4 + 1c: 3070 fld fa2,224(s0) + ... diff --git a/tests/isa/generated/rv32um-p-mulh.mem b/tests/isa/generated/rv32um-p-mulh.mem new file mode 100644 index 0000000..d17297c --- /dev/null +++ b/tests/isa/generated/rv32um-p-mulh.mem @@ -0,0 +1,354 @@ +00000d13 +00000d93 +00000093 +00000113 +02209f33 +00000e93 +00200193 +4bdf1a63 +00100093 +00100113 +02209f33 +00000e93 +00300193 +49df1e63 +00300093 +00700113 +02209f33 +00000e93 +00400193 +49df1263 +00000093 +ffff8137 +02209f33 +00000e93 +00500193 +47df1663 +800000b7 +00000113 +02209f33 +00000e93 +00600193 +45df1a63 +800000b7 +00000113 +02209f33 +00000e93 +00700193 +43df1e63 +aaaab0b7 +aab08093 +00030137 +e7d10113 +02209f33 +ffff0eb7 +081e8e93 +01e00193 +41df1c63 +000300b7 +e7d08093 +aaaab137 +aab10113 +02209f33 +ffff0eb7 +081e8e93 +01f00193 +3fdf1a63 +ff0000b7 +ff000137 +02209f33 +00010eb7 +02000193 +3ddf1e63 +fff00093 +fff00113 +02209f33 +00000e93 +02100193 +3ddf1263 +fff00093 +00100113 +02209f33 +fff00e93 +02200193 +3bdf1663 +00100093 +fff00113 +02209f33 +fff00e93 +02300193 +39df1a63 +00d000b7 +00b00137 +022090b3 +00009eb7 +f00e8e93 +00800193 +37d09c63 +00e000b7 +00b00137 +02209133 +0000aeb7 +a00e8e93 +00900193 +35d11e63 +00d000b7 +021090b3 +0000beb7 +900e8e93 +00a00193 +35d09263 +00000213 +00d000b7 +00b00137 +02209f33 +000f0313 +00120213 +00200293 +fe5214e3 +00009eb7 +f00e8e93 +00b00193 +31d31a63 +00000213 +00e000b7 +00b00137 +02209f33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +0000aeb7 +a00e8e93 +00c00193 +2fd31063 +00000213 +00f000b7 +00b00137 +02209f33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +0000aeb7 +500e8e93 +00d00193 +2bd31463 +00000213 +00d000b7 +00b00137 +02209f33 +00120213 +00200293 +fe5216e3 +00009eb7 +f00e8e93 +00e00193 +27df1e63 +00000213 +00e000b7 +00b00137 +00000013 +02209f33 +00120213 +00200293 +fe5214e3 +0000aeb7 +a00e8e93 +00f00193 +25df1663 +00000213 +00f000b7 +00b00137 +00000013 +00000013 +02209f33 +00120213 +00200293 +fe5212e3 +0000aeb7 +500e8e93 +01000193 +21df1c63 +00000213 +00d000b7 +00000013 +00b00137 +02209f33 +00120213 +00200293 +fe5214e3 +00009eb7 +f00e8e93 +01100193 +1fdf1463 +00000213 +00e000b7 +00000013 +00b00137 +00000013 +02209f33 +00120213 +00200293 +fe5212e3 +0000aeb7 +a00e8e93 +01200193 +1bdf1a63 +00000213 +00f000b7 +00000013 +00000013 +00b00137 +02209f33 +00120213 +00200293 +fe5212e3 +0000aeb7 +500e8e93 +01300193 +19df1063 +00000213 +00b00137 +00d000b7 +02209f33 +00120213 +00200293 +fe5216e3 +00009eb7 +f00e8e93 +01400193 +15df1a63 +00000213 +00b00137 +00e000b7 +00000013 +02209f33 +00120213 +00200293 +fe5214e3 +0000aeb7 +a00e8e93 +01500193 +13df1263 +00000213 +00b00137 +00f000b7 +00000013 +00000013 +02209f33 +00120213 +00200293 +fe5212e3 +0000aeb7 +500e8e93 +01600193 +0fdf1863 +00000213 +00b00137 +00000013 +00d000b7 +02209f33 +00120213 +00200293 +fe5214e3 +00009eb7 +f00e8e93 +01700193 +0ddf1063 +00000213 +00b00137 +00000013 +00e000b7 +00000013 +02209f33 +00120213 +00200293 +fe5212e3 +0000aeb7 +a00e8e93 +01800193 +09df1663 +00000213 +00b00137 +00000013 +00000013 +00f000b7 +02209f33 +00120213 +00200293 +fe5212e3 +0000aeb7 +500e8e93 +01900193 +05df1c63 +7c0000b7 +02101133 +00000e93 +01a00193 +05d11263 +800000b7 +02009133 +00000e93 +01b00193 +03d11863 +020010b3 +00000e93 +01c00193 +03d09063 +021000b7 +02200137 +02209033 +00000e93 +01d00193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32um-p-mulh.verilog b/tests/isa/generated/rv32um-p-mulh.verilog old mode 100644 new mode 100755 index 1ed39fc..2889266 --- a/tests/isa/generated/rv32um-p-mulh.verilog +++ b/tests/isa/generated/rv32um-p-mulh.verilog @@ -1,88 +1,88 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 -33 9F 20 02 93 0E 00 00 93 01 20 00 63 1A DF 4B -93 00 10 00 13 01 10 00 33 9F 20 02 93 0E 00 00 -93 01 30 00 63 1E DF 49 93 00 30 00 13 01 70 00 -33 9F 20 02 93 0E 00 00 93 01 40 00 63 12 DF 49 -93 00 00 00 37 81 FF FF 33 9F 20 02 93 0E 00 00 -93 01 50 00 63 16 DF 47 B7 00 00 80 13 01 00 00 -33 9F 20 02 93 0E 00 00 93 01 60 00 63 1A DF 45 -B7 00 00 80 13 01 00 00 33 9F 20 02 93 0E 00 00 -93 01 70 00 63 1E DF 43 B7 B0 AA AA 93 80 B0 AA -37 01 03 00 13 01 D1 E7 33 9F 20 02 B7 0E FF FF -93 8E 1E 08 93 01 E0 01 63 1C DF 41 B7 00 03 00 -93 80 D0 E7 37 B1 AA AA 13 01 B1 AA 33 9F 20 02 -B7 0E FF FF 93 8E 1E 08 93 01 F0 01 63 1A DF 3F -B7 00 00 FF 37 01 00 FF 33 9F 20 02 B7 0E 01 00 -93 01 00 02 63 1E DF 3D 93 00 F0 FF 13 01 F0 FF -33 9F 20 02 93 0E 00 00 93 01 10 02 63 12 DF 3D -93 00 F0 FF 13 01 10 00 33 9F 20 02 93 0E F0 FF -93 01 20 02 63 16 DF 3B 93 00 10 00 13 01 F0 FF -33 9F 20 02 93 0E F0 FF 93 01 30 02 63 1A DF 39 -B7 00 D0 00 37 01 B0 00 B3 90 20 02 B7 9E 00 00 -93 8E 0E F0 93 01 80 00 63 9C D0 37 B7 00 E0 00 -37 01 B0 00 33 91 20 02 B7 AE 00 00 93 8E 0E A0 -93 01 90 00 63 1E D1 35 B7 00 D0 00 B3 90 10 02 -B7 BE 00 00 93 8E 0E 90 93 01 A0 00 63 92 D0 35 -13 02 00 00 B7 00 D0 00 37 01 B0 00 33 9F 20 02 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 9E 00 00 93 8E 0E F0 93 01 B0 00 63 1A D3 31 -13 02 00 00 B7 00 E0 00 37 01 B0 00 33 9F 20 02 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 AE 00 00 93 8E 0E A0 93 01 C0 00 -63 10 D3 2F 13 02 00 00 B7 00 F0 00 37 01 B0 00 -33 9F 20 02 13 00 00 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 10 52 FE B7 AE 00 00 -93 8E 0E 50 93 01 D0 00 63 14 D3 2B 13 02 00 00 -B7 00 D0 00 37 01 B0 00 33 9F 20 02 13 02 12 00 -93 02 20 00 E3 16 52 FE B7 9E 00 00 93 8E 0E F0 -93 01 E0 00 63 1E DF 27 13 02 00 00 B7 00 E0 00 -37 01 B0 00 13 00 00 00 33 9F 20 02 13 02 12 00 -93 02 20 00 E3 14 52 FE B7 AE 00 00 93 8E 0E A0 -93 01 F0 00 63 16 DF 25 13 02 00 00 B7 00 F0 00 -37 01 B0 00 13 00 00 00 13 00 00 00 33 9F 20 02 -13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 -93 8E 0E 50 93 01 00 01 63 1C DF 21 13 02 00 00 -B7 00 D0 00 13 00 00 00 37 01 B0 00 33 9F 20 02 -13 02 12 00 93 02 20 00 E3 14 52 FE B7 9E 00 00 -93 8E 0E F0 93 01 10 01 63 14 DF 1F 13 02 00 00 -B7 00 E0 00 13 00 00 00 37 01 B0 00 13 00 00 00 -33 9F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 AE 00 00 93 8E 0E A0 93 01 20 01 63 1A DF 1B -13 02 00 00 B7 00 F0 00 13 00 00 00 13 00 00 00 -37 01 B0 00 33 9F 20 02 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 30 01 -63 10 DF 19 13 02 00 00 37 01 B0 00 B7 00 D0 00 -33 9F 20 02 13 02 12 00 93 02 20 00 E3 16 52 FE -B7 9E 00 00 93 8E 0E F0 93 01 40 01 63 1A DF 15 -13 02 00 00 37 01 B0 00 B7 00 E0 00 13 00 00 00 -33 9F 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 AE 00 00 93 8E 0E A0 93 01 50 01 63 12 DF 13 -13 02 00 00 37 01 B0 00 B7 00 F0 00 13 00 00 00 -13 00 00 00 33 9F 20 02 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 60 01 -63 18 DF 0F 13 02 00 00 37 01 B0 00 13 00 00 00 -B7 00 D0 00 33 9F 20 02 13 02 12 00 93 02 20 00 -E3 14 52 FE B7 9E 00 00 93 8E 0E F0 93 01 70 01 -63 10 DF 0D 13 02 00 00 37 01 B0 00 13 00 00 00 -B7 00 E0 00 13 00 00 00 33 9F 20 02 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 AE 00 00 93 8E 0E A0 -93 01 80 01 63 16 DF 09 13 02 00 00 37 01 B0 00 -13 00 00 00 13 00 00 00 B7 00 F0 00 33 9F 20 02 -13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 -93 8E 0E 50 93 01 90 01 63 1C DF 05 B7 00 00 7C -33 11 10 02 93 0E 00 00 93 01 A0 01 63 12 D1 05 -B7 00 00 80 33 91 00 02 93 0E 00 00 93 01 B0 01 -63 18 D1 03 B3 10 00 02 93 0E 00 00 93 01 C0 01 -63 90 D0 03 B7 00 10 02 37 01 20 02 33 90 20 02 -93 0E 00 00 93 01 D0 01 63 14 D0 01 63 18 30 00 -13 0D 10 00 93 0D 00 00 6F 00 00 00 13 0D 10 00 -93 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000540 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 +33 9F 20 02 93 0E 00 00 93 01 20 00 63 1A DF 4B +93 00 10 00 13 01 10 00 33 9F 20 02 93 0E 00 00 +93 01 30 00 63 1E DF 49 93 00 30 00 13 01 70 00 +33 9F 20 02 93 0E 00 00 93 01 40 00 63 12 DF 49 +93 00 00 00 37 81 FF FF 33 9F 20 02 93 0E 00 00 +93 01 50 00 63 16 DF 47 B7 00 00 80 13 01 00 00 +33 9F 20 02 93 0E 00 00 93 01 60 00 63 1A DF 45 +B7 00 00 80 13 01 00 00 33 9F 20 02 93 0E 00 00 +93 01 70 00 63 1E DF 43 B7 B0 AA AA 93 80 B0 AA +37 01 03 00 13 01 D1 E7 33 9F 20 02 B7 0E FF FF +93 8E 1E 08 93 01 E0 01 63 1C DF 41 B7 00 03 00 +93 80 D0 E7 37 B1 AA AA 13 01 B1 AA 33 9F 20 02 +B7 0E FF FF 93 8E 1E 08 93 01 F0 01 63 1A DF 3F +B7 00 00 FF 37 01 00 FF 33 9F 20 02 B7 0E 01 00 +93 01 00 02 63 1E DF 3D 93 00 F0 FF 13 01 F0 FF +33 9F 20 02 93 0E 00 00 93 01 10 02 63 12 DF 3D +93 00 F0 FF 13 01 10 00 33 9F 20 02 93 0E F0 FF +93 01 20 02 63 16 DF 3B 93 00 10 00 13 01 F0 FF +33 9F 20 02 93 0E F0 FF 93 01 30 02 63 1A DF 39 +B7 00 D0 00 37 01 B0 00 B3 90 20 02 B7 9E 00 00 +93 8E 0E F0 93 01 80 00 63 9C D0 37 B7 00 E0 00 +37 01 B0 00 33 91 20 02 B7 AE 00 00 93 8E 0E A0 +93 01 90 00 63 1E D1 35 B7 00 D0 00 B3 90 10 02 +B7 BE 00 00 93 8E 0E 90 93 01 A0 00 63 92 D0 35 +13 02 00 00 B7 00 D0 00 37 01 B0 00 33 9F 20 02 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE +B7 9E 00 00 93 8E 0E F0 93 01 B0 00 63 1A D3 31 +13 02 00 00 B7 00 E0 00 37 01 B0 00 33 9F 20 02 +13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 AE 00 00 93 8E 0E A0 93 01 C0 00 +63 10 D3 2F 13 02 00 00 B7 00 F0 00 37 01 B0 00 +33 9F 20 02 13 00 00 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 10 52 FE B7 AE 00 00 +93 8E 0E 50 93 01 D0 00 63 14 D3 2B 13 02 00 00 +B7 00 D0 00 37 01 B0 00 33 9F 20 02 13 02 12 00 +93 02 20 00 E3 16 52 FE B7 9E 00 00 93 8E 0E F0 +93 01 E0 00 63 1E DF 27 13 02 00 00 B7 00 E0 00 +37 01 B0 00 13 00 00 00 33 9F 20 02 13 02 12 00 +93 02 20 00 E3 14 52 FE B7 AE 00 00 93 8E 0E A0 +93 01 F0 00 63 16 DF 25 13 02 00 00 B7 00 F0 00 +37 01 B0 00 13 00 00 00 13 00 00 00 33 9F 20 02 +13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 +93 8E 0E 50 93 01 00 01 63 1C DF 21 13 02 00 00 +B7 00 D0 00 13 00 00 00 37 01 B0 00 33 9F 20 02 +13 02 12 00 93 02 20 00 E3 14 52 FE B7 9E 00 00 +93 8E 0E F0 93 01 10 01 63 14 DF 1F 13 02 00 00 +B7 00 E0 00 13 00 00 00 37 01 B0 00 13 00 00 00 +33 9F 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 AE 00 00 93 8E 0E A0 93 01 20 01 63 1A DF 1B +13 02 00 00 B7 00 F0 00 13 00 00 00 13 00 00 00 +37 01 B0 00 33 9F 20 02 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 30 01 +63 10 DF 19 13 02 00 00 37 01 B0 00 B7 00 D0 00 +33 9F 20 02 13 02 12 00 93 02 20 00 E3 16 52 FE +B7 9E 00 00 93 8E 0E F0 93 01 40 01 63 1A DF 15 +13 02 00 00 37 01 B0 00 B7 00 E0 00 13 00 00 00 +33 9F 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE +B7 AE 00 00 93 8E 0E A0 93 01 50 01 63 12 DF 13 +13 02 00 00 37 01 B0 00 B7 00 F0 00 13 00 00 00 +13 00 00 00 33 9F 20 02 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 60 01 +63 18 DF 0F 13 02 00 00 37 01 B0 00 13 00 00 00 +B7 00 D0 00 33 9F 20 02 13 02 12 00 93 02 20 00 +E3 14 52 FE B7 9E 00 00 93 8E 0E F0 93 01 70 01 +63 10 DF 0D 13 02 00 00 37 01 B0 00 13 00 00 00 +B7 00 E0 00 13 00 00 00 33 9F 20 02 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 AE 00 00 93 8E 0E A0 +93 01 80 01 63 16 DF 09 13 02 00 00 37 01 B0 00 +13 00 00 00 13 00 00 00 B7 00 F0 00 33 9F 20 02 +13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 +93 8E 0E 50 93 01 90 01 63 1C DF 05 B7 00 00 7C +33 11 10 02 93 0E 00 00 93 01 A0 01 63 12 D1 05 +B7 00 00 80 33 91 00 02 93 0E 00 00 93 01 B0 01 +63 18 D1 03 B3 10 00 02 93 0E 00 00 93 01 C0 01 +63 90 D0 03 B7 00 10 02 37 01 20 02 33 90 20 02 +93 0E 00 00 93 01 D0 01 63 14 D0 01 63 18 30 00 +93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 +13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000540 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32um-p-mulhsu b/tests/isa/generated/rv32um-p-mulhsu old mode 100644 new mode 100755 index 29dfa8f..31d7dff Binary files a/tests/isa/generated/rv32um-p-mulhsu and b/tests/isa/generated/rv32um-p-mulhsu differ diff --git a/tests/isa/generated/rv32um-p-mulhsu.bin b/tests/isa/generated/rv32um-p-mulhsu.bin old mode 100644 new mode 100755 index ff2a339..066dbde Binary files a/tests/isa/generated/rv32um-p-mulhsu.bin and b/tests/isa/generated/rv32um-p-mulhsu.bin differ diff --git a/tests/isa/generated/rv32um-p-mulhsu.dump b/tests/isa/generated/rv32um-p-mulhsu.dump index fd4e8ad..1f9fcb8 100644 --- a/tests/isa/generated/rv32um-p-mulhsu.dump +++ b/tests/isa/generated/rv32um-p-mulhsu.dump @@ -383,15 +383,15 @@ Disassembly of section .text.init: 4cc: 00301863 bne zero,gp,4dc 000004d0 : - 4d0: 00100d13 li s10,1 - 4d4: 00000d93 li s11,0 + 4d0: 00000d93 li s11,0 + 4d4: 00100d13 li s10,1 000004d8 : 4d8: 0000006f j 4d8 000004dc : - 4dc: 00100d13 li s10,1 - 4e0: 00100d93 li s11,1 + 4dc: 00100d93 li s11,1 + 4e0: 00100d13 li s10,1 000004e4 : 4e4: 0000006f j 4e4 @@ -404,3 +404,22 @@ Disassembly of section .tohost: 00000580 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1e41 addi t3,t3,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0014 0x14 + e: 0000 unimp + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 5f30 lw a2,120(a4) + 1a: 326d jal fffff9c4 + 1c: 3070 fld fa2,224(s0) + ... diff --git a/tests/isa/generated/rv32um-p-mulhsu.mem b/tests/isa/generated/rv32um-p-mulhsu.mem new file mode 100644 index 0000000..0aac359 --- /dev/null +++ b/tests/isa/generated/rv32um-p-mulhsu.mem @@ -0,0 +1,354 @@ +00000d13 +00000d93 +00000093 +00000113 +0220af33 +00000e93 +00200193 +4bdf1a63 +00100093 +00100113 +0220af33 +00000e93 +00300193 +49df1e63 +00300093 +00700113 +0220af33 +00000e93 +00400193 +49df1263 +00000093 +ffff8137 +0220af33 +00000e93 +00500193 +47df1663 +800000b7 +00000113 +0220af33 +00000e93 +00600193 +45df1a63 +800000b7 +ffff8137 +0220af33 +80004eb7 +00700193 +43df1e63 +aaaab0b7 +aab08093 +00030137 +e7d10113 +0220af33 +ffff0eb7 +081e8e93 +01e00193 +41df1c63 +000300b7 +e7d08093 +aaaab137 +aab10113 +0220af33 +00020eb7 +efee8e93 +01f00193 +3fdf1a63 +ff0000b7 +ff000137 +0220af33 +ff010eb7 +02000193 +3ddf1e63 +fff00093 +fff00113 +0220af33 +fff00e93 +02100193 +3ddf1263 +fff00093 +00100113 +0220af33 +fff00e93 +02200193 +3bdf1663 +00100093 +fff00113 +0220af33 +00000e93 +02300193 +39df1a63 +00d000b7 +00b00137 +0220a0b3 +00009eb7 +f00e8e93 +00800193 +37d09c63 +00e000b7 +00b00137 +0220a133 +0000aeb7 +a00e8e93 +00900193 +35d11e63 +00d000b7 +0210a0b3 +0000beb7 +900e8e93 +00a00193 +35d09263 +00000213 +00d000b7 +00b00137 +0220af33 +000f0313 +00120213 +00200293 +fe5214e3 +00009eb7 +f00e8e93 +00b00193 +31d31a63 +00000213 +00e000b7 +00b00137 +0220af33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +0000aeb7 +a00e8e93 +00c00193 +2fd31063 +00000213 +00f000b7 +00b00137 +0220af33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +0000aeb7 +500e8e93 +00d00193 +2bd31463 +00000213 +00d000b7 +00b00137 +0220af33 +00120213 +00200293 +fe5216e3 +00009eb7 +f00e8e93 +00e00193 +27df1e63 +00000213 +00e000b7 +00b00137 +00000013 +0220af33 +00120213 +00200293 +fe5214e3 +0000aeb7 +a00e8e93 +00f00193 +25df1663 +00000213 +00f000b7 +00b00137 +00000013 +00000013 +0220af33 +00120213 +00200293 +fe5212e3 +0000aeb7 +500e8e93 +01000193 +21df1c63 +00000213 +00d000b7 +00000013 +00b00137 +0220af33 +00120213 +00200293 +fe5214e3 +00009eb7 +f00e8e93 +01100193 +1fdf1463 +00000213 +00e000b7 +00000013 +00b00137 +00000013 +0220af33 +00120213 +00200293 +fe5212e3 +0000aeb7 +a00e8e93 +01200193 +1bdf1a63 +00000213 +00f000b7 +00000013 +00000013 +00b00137 +0220af33 +00120213 +00200293 +fe5212e3 +0000aeb7 +500e8e93 +01300193 +19df1063 +00000213 +00b00137 +00d000b7 +0220af33 +00120213 +00200293 +fe5216e3 +00009eb7 +f00e8e93 +01400193 +15df1a63 +00000213 +00b00137 +00e000b7 +00000013 +0220af33 +00120213 +00200293 +fe5214e3 +0000aeb7 +a00e8e93 +01500193 +13df1263 +00000213 +00b00137 +00f000b7 +00000013 +00000013 +0220af33 +00120213 +00200293 +fe5212e3 +0000aeb7 +500e8e93 +01600193 +0fdf1863 +00000213 +00b00137 +00000013 +00d000b7 +0220af33 +00120213 +00200293 +fe5214e3 +00009eb7 +f00e8e93 +01700193 +0ddf1063 +00000213 +00b00137 +00000013 +00e000b7 +00000013 +0220af33 +00120213 +00200293 +fe5212e3 +0000aeb7 +a00e8e93 +01800193 +09df1663 +00000213 +00b00137 +00000013 +00000013 +00f000b7 +0220af33 +00120213 +00200293 +fe5212e3 +0000aeb7 +500e8e93 +01900193 +05df1c63 +7c0000b7 +02102133 +00000e93 +01a00193 +05d11263 +800000b7 +0200a133 +00000e93 +01b00193 +03d11863 +020020b3 +00000e93 +01c00193 +03d09063 +021000b7 +02200137 +0220a033 +00000e93 +01d00193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32um-p-mulhsu.verilog b/tests/isa/generated/rv32um-p-mulhsu.verilog old mode 100644 new mode 100755 index bf2aad0..8e2c668 --- a/tests/isa/generated/rv32um-p-mulhsu.verilog +++ b/tests/isa/generated/rv32um-p-mulhsu.verilog @@ -1,88 +1,88 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 -33 AF 20 02 93 0E 00 00 93 01 20 00 63 1A DF 4B -93 00 10 00 13 01 10 00 33 AF 20 02 93 0E 00 00 -93 01 30 00 63 1E DF 49 93 00 30 00 13 01 70 00 -33 AF 20 02 93 0E 00 00 93 01 40 00 63 12 DF 49 -93 00 00 00 37 81 FF FF 33 AF 20 02 93 0E 00 00 -93 01 50 00 63 16 DF 47 B7 00 00 80 13 01 00 00 -33 AF 20 02 93 0E 00 00 93 01 60 00 63 1A DF 45 -B7 00 00 80 37 81 FF FF 33 AF 20 02 B7 4E 00 80 -93 01 70 00 63 1E DF 43 B7 B0 AA AA 93 80 B0 AA -37 01 03 00 13 01 D1 E7 33 AF 20 02 B7 0E FF FF -93 8E 1E 08 93 01 E0 01 63 1C DF 41 B7 00 03 00 -93 80 D0 E7 37 B1 AA AA 13 01 B1 AA 33 AF 20 02 -B7 0E 02 00 93 8E EE EF 93 01 F0 01 63 1A DF 3F -B7 00 00 FF 37 01 00 FF 33 AF 20 02 B7 0E 01 FF -93 01 00 02 63 1E DF 3D 93 00 F0 FF 13 01 F0 FF -33 AF 20 02 93 0E F0 FF 93 01 10 02 63 12 DF 3D -93 00 F0 FF 13 01 10 00 33 AF 20 02 93 0E F0 FF -93 01 20 02 63 16 DF 3B 93 00 10 00 13 01 F0 FF -33 AF 20 02 93 0E 00 00 93 01 30 02 63 1A DF 39 -B7 00 D0 00 37 01 B0 00 B3 A0 20 02 B7 9E 00 00 -93 8E 0E F0 93 01 80 00 63 9C D0 37 B7 00 E0 00 -37 01 B0 00 33 A1 20 02 B7 AE 00 00 93 8E 0E A0 -93 01 90 00 63 1E D1 35 B7 00 D0 00 B3 A0 10 02 -B7 BE 00 00 93 8E 0E 90 93 01 A0 00 63 92 D0 35 -13 02 00 00 B7 00 D0 00 37 01 B0 00 33 AF 20 02 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 9E 00 00 93 8E 0E F0 93 01 B0 00 63 1A D3 31 -13 02 00 00 B7 00 E0 00 37 01 B0 00 33 AF 20 02 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 AE 00 00 93 8E 0E A0 93 01 C0 00 -63 10 D3 2F 13 02 00 00 B7 00 F0 00 37 01 B0 00 -33 AF 20 02 13 00 00 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 10 52 FE B7 AE 00 00 -93 8E 0E 50 93 01 D0 00 63 14 D3 2B 13 02 00 00 -B7 00 D0 00 37 01 B0 00 33 AF 20 02 13 02 12 00 -93 02 20 00 E3 16 52 FE B7 9E 00 00 93 8E 0E F0 -93 01 E0 00 63 1E DF 27 13 02 00 00 B7 00 E0 00 -37 01 B0 00 13 00 00 00 33 AF 20 02 13 02 12 00 -93 02 20 00 E3 14 52 FE B7 AE 00 00 93 8E 0E A0 -93 01 F0 00 63 16 DF 25 13 02 00 00 B7 00 F0 00 -37 01 B0 00 13 00 00 00 13 00 00 00 33 AF 20 02 -13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 -93 8E 0E 50 93 01 00 01 63 1C DF 21 13 02 00 00 -B7 00 D0 00 13 00 00 00 37 01 B0 00 33 AF 20 02 -13 02 12 00 93 02 20 00 E3 14 52 FE B7 9E 00 00 -93 8E 0E F0 93 01 10 01 63 14 DF 1F 13 02 00 00 -B7 00 E0 00 13 00 00 00 37 01 B0 00 13 00 00 00 -33 AF 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 AE 00 00 93 8E 0E A0 93 01 20 01 63 1A DF 1B -13 02 00 00 B7 00 F0 00 13 00 00 00 13 00 00 00 -37 01 B0 00 33 AF 20 02 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 30 01 -63 10 DF 19 13 02 00 00 37 01 B0 00 B7 00 D0 00 -33 AF 20 02 13 02 12 00 93 02 20 00 E3 16 52 FE -B7 9E 00 00 93 8E 0E F0 93 01 40 01 63 1A DF 15 -13 02 00 00 37 01 B0 00 B7 00 E0 00 13 00 00 00 -33 AF 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 AE 00 00 93 8E 0E A0 93 01 50 01 63 12 DF 13 -13 02 00 00 37 01 B0 00 B7 00 F0 00 13 00 00 00 -13 00 00 00 33 AF 20 02 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 60 01 -63 18 DF 0F 13 02 00 00 37 01 B0 00 13 00 00 00 -B7 00 D0 00 33 AF 20 02 13 02 12 00 93 02 20 00 -E3 14 52 FE B7 9E 00 00 93 8E 0E F0 93 01 70 01 -63 10 DF 0D 13 02 00 00 37 01 B0 00 13 00 00 00 -B7 00 E0 00 13 00 00 00 33 AF 20 02 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 AE 00 00 93 8E 0E A0 -93 01 80 01 63 16 DF 09 13 02 00 00 37 01 B0 00 -13 00 00 00 13 00 00 00 B7 00 F0 00 33 AF 20 02 -13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 -93 8E 0E 50 93 01 90 01 63 1C DF 05 B7 00 00 7C -33 21 10 02 93 0E 00 00 93 01 A0 01 63 12 D1 05 -B7 00 00 80 33 A1 00 02 93 0E 00 00 93 01 B0 01 -63 18 D1 03 B3 20 00 02 93 0E 00 00 93 01 C0 01 -63 90 D0 03 B7 00 10 02 37 01 20 02 33 A0 20 02 -93 0E 00 00 93 01 D0 01 63 14 D0 01 63 18 30 00 -13 0D 10 00 93 0D 00 00 6F 00 00 00 13 0D 10 00 -93 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000540 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 +33 AF 20 02 93 0E 00 00 93 01 20 00 63 1A DF 4B +93 00 10 00 13 01 10 00 33 AF 20 02 93 0E 00 00 +93 01 30 00 63 1E DF 49 93 00 30 00 13 01 70 00 +33 AF 20 02 93 0E 00 00 93 01 40 00 63 12 DF 49 +93 00 00 00 37 81 FF FF 33 AF 20 02 93 0E 00 00 +93 01 50 00 63 16 DF 47 B7 00 00 80 13 01 00 00 +33 AF 20 02 93 0E 00 00 93 01 60 00 63 1A DF 45 +B7 00 00 80 37 81 FF FF 33 AF 20 02 B7 4E 00 80 +93 01 70 00 63 1E DF 43 B7 B0 AA AA 93 80 B0 AA +37 01 03 00 13 01 D1 E7 33 AF 20 02 B7 0E FF FF +93 8E 1E 08 93 01 E0 01 63 1C DF 41 B7 00 03 00 +93 80 D0 E7 37 B1 AA AA 13 01 B1 AA 33 AF 20 02 +B7 0E 02 00 93 8E EE EF 93 01 F0 01 63 1A DF 3F +B7 00 00 FF 37 01 00 FF 33 AF 20 02 B7 0E 01 FF +93 01 00 02 63 1E DF 3D 93 00 F0 FF 13 01 F0 FF +33 AF 20 02 93 0E F0 FF 93 01 10 02 63 12 DF 3D +93 00 F0 FF 13 01 10 00 33 AF 20 02 93 0E F0 FF +93 01 20 02 63 16 DF 3B 93 00 10 00 13 01 F0 FF +33 AF 20 02 93 0E 00 00 93 01 30 02 63 1A DF 39 +B7 00 D0 00 37 01 B0 00 B3 A0 20 02 B7 9E 00 00 +93 8E 0E F0 93 01 80 00 63 9C D0 37 B7 00 E0 00 +37 01 B0 00 33 A1 20 02 B7 AE 00 00 93 8E 0E A0 +93 01 90 00 63 1E D1 35 B7 00 D0 00 B3 A0 10 02 +B7 BE 00 00 93 8E 0E 90 93 01 A0 00 63 92 D0 35 +13 02 00 00 B7 00 D0 00 37 01 B0 00 33 AF 20 02 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE +B7 9E 00 00 93 8E 0E F0 93 01 B0 00 63 1A D3 31 +13 02 00 00 B7 00 E0 00 37 01 B0 00 33 AF 20 02 +13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 AE 00 00 93 8E 0E A0 93 01 C0 00 +63 10 D3 2F 13 02 00 00 B7 00 F0 00 37 01 B0 00 +33 AF 20 02 13 00 00 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 10 52 FE B7 AE 00 00 +93 8E 0E 50 93 01 D0 00 63 14 D3 2B 13 02 00 00 +B7 00 D0 00 37 01 B0 00 33 AF 20 02 13 02 12 00 +93 02 20 00 E3 16 52 FE B7 9E 00 00 93 8E 0E F0 +93 01 E0 00 63 1E DF 27 13 02 00 00 B7 00 E0 00 +37 01 B0 00 13 00 00 00 33 AF 20 02 13 02 12 00 +93 02 20 00 E3 14 52 FE B7 AE 00 00 93 8E 0E A0 +93 01 F0 00 63 16 DF 25 13 02 00 00 B7 00 F0 00 +37 01 B0 00 13 00 00 00 13 00 00 00 33 AF 20 02 +13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 +93 8E 0E 50 93 01 00 01 63 1C DF 21 13 02 00 00 +B7 00 D0 00 13 00 00 00 37 01 B0 00 33 AF 20 02 +13 02 12 00 93 02 20 00 E3 14 52 FE B7 9E 00 00 +93 8E 0E F0 93 01 10 01 63 14 DF 1F 13 02 00 00 +B7 00 E0 00 13 00 00 00 37 01 B0 00 13 00 00 00 +33 AF 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 AE 00 00 93 8E 0E A0 93 01 20 01 63 1A DF 1B +13 02 00 00 B7 00 F0 00 13 00 00 00 13 00 00 00 +37 01 B0 00 33 AF 20 02 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 30 01 +63 10 DF 19 13 02 00 00 37 01 B0 00 B7 00 D0 00 +33 AF 20 02 13 02 12 00 93 02 20 00 E3 16 52 FE +B7 9E 00 00 93 8E 0E F0 93 01 40 01 63 1A DF 15 +13 02 00 00 37 01 B0 00 B7 00 E0 00 13 00 00 00 +33 AF 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE +B7 AE 00 00 93 8E 0E A0 93 01 50 01 63 12 DF 13 +13 02 00 00 37 01 B0 00 B7 00 F0 00 13 00 00 00 +13 00 00 00 33 AF 20 02 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 60 01 +63 18 DF 0F 13 02 00 00 37 01 B0 00 13 00 00 00 +B7 00 D0 00 33 AF 20 02 13 02 12 00 93 02 20 00 +E3 14 52 FE B7 9E 00 00 93 8E 0E F0 93 01 70 01 +63 10 DF 0D 13 02 00 00 37 01 B0 00 13 00 00 00 +B7 00 E0 00 13 00 00 00 33 AF 20 02 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 AE 00 00 93 8E 0E A0 +93 01 80 01 63 16 DF 09 13 02 00 00 37 01 B0 00 +13 00 00 00 13 00 00 00 B7 00 F0 00 33 AF 20 02 +13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 +93 8E 0E 50 93 01 90 01 63 1C DF 05 B7 00 00 7C +33 21 10 02 93 0E 00 00 93 01 A0 01 63 12 D1 05 +B7 00 00 80 33 A1 00 02 93 0E 00 00 93 01 B0 01 +63 18 D1 03 B3 20 00 02 93 0E 00 00 93 01 C0 01 +63 90 D0 03 B7 00 10 02 37 01 20 02 33 A0 20 02 +93 0E 00 00 93 01 D0 01 63 14 D0 01 63 18 30 00 +93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 +13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000540 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32um-p-mulhu b/tests/isa/generated/rv32um-p-mulhu old mode 100644 new mode 100755 index 15e9caa..9dd9444 Binary files a/tests/isa/generated/rv32um-p-mulhu and b/tests/isa/generated/rv32um-p-mulhu differ diff --git a/tests/isa/generated/rv32um-p-mulhu.bin b/tests/isa/generated/rv32um-p-mulhu.bin old mode 100644 new mode 100755 index 5da16d5..8b98a1b Binary files a/tests/isa/generated/rv32um-p-mulhu.bin and b/tests/isa/generated/rv32um-p-mulhu.bin differ diff --git a/tests/isa/generated/rv32um-p-mulhu.dump b/tests/isa/generated/rv32um-p-mulhu.dump index 43186a3..78005aa 100644 --- a/tests/isa/generated/rv32um-p-mulhu.dump +++ b/tests/isa/generated/rv32um-p-mulhu.dump @@ -383,15 +383,15 @@ Disassembly of section .text.init: 4cc: 00301863 bne zero,gp,4dc 000004d0 : - 4d0: 00100d13 li s10,1 - 4d4: 00000d93 li s11,0 + 4d0: 00000d93 li s11,0 + 4d4: 00100d13 li s10,1 000004d8 : 4d8: 0000006f j 4d8 000004dc : - 4dc: 00100d13 li s10,1 - 4e0: 00100d93 li s11,1 + 4dc: 00100d93 li s11,1 + 4e0: 00100d13 li s10,1 000004e4 : 4e4: 0000006f j 4e4 @@ -404,3 +404,22 @@ Disassembly of section .tohost: 00000580 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1e41 addi t3,t3,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0014 0x14 + e: 0000 unimp + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 5f30 lw a2,120(a4) + 1a: 326d jal fffff9c4 + 1c: 3070 fld fa2,224(s0) + ... diff --git a/tests/isa/generated/rv32um-p-mulhu.mem b/tests/isa/generated/rv32um-p-mulhu.mem new file mode 100644 index 0000000..a1c0f30 --- /dev/null +++ b/tests/isa/generated/rv32um-p-mulhu.mem @@ -0,0 +1,354 @@ +00000d13 +00000d93 +00000093 +00000113 +0220bf33 +00000e93 +00200193 +4bdf1a63 +00100093 +00100113 +0220bf33 +00000e93 +00300193 +49df1e63 +00300093 +00700113 +0220bf33 +00000e93 +00400193 +49df1263 +00000093 +ffff8137 +0220bf33 +00000e93 +00500193 +47df1663 +800000b7 +00000113 +0220bf33 +00000e93 +00600193 +45df1a63 +800000b7 +ffff8137 +0220bf33 +7fffceb7 +00700193 +43df1e63 +aaaab0b7 +aab08093 +00030137 +e7d10113 +0220bf33 +00020eb7 +efee8e93 +01e00193 +41df1c63 +000300b7 +e7d08093 +aaaab137 +aab10113 +0220bf33 +00020eb7 +efee8e93 +01f00193 +3fdf1a63 +ff0000b7 +ff000137 +0220bf33 +fe010eb7 +02000193 +3ddf1e63 +fff00093 +fff00113 +0220bf33 +ffe00e93 +02100193 +3ddf1263 +fff00093 +00100113 +0220bf33 +00000e93 +02200193 +3bdf1663 +00100093 +fff00113 +0220bf33 +00000e93 +02300193 +39df1a63 +00d000b7 +00b00137 +0220b0b3 +00009eb7 +f00e8e93 +00800193 +37d09c63 +00e000b7 +00b00137 +0220b133 +0000aeb7 +a00e8e93 +00900193 +35d11e63 +00d000b7 +0210b0b3 +0000beb7 +900e8e93 +00a00193 +35d09263 +00000213 +00d000b7 +00b00137 +0220bf33 +000f0313 +00120213 +00200293 +fe5214e3 +00009eb7 +f00e8e93 +00b00193 +31d31a63 +00000213 +00e000b7 +00b00137 +0220bf33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +0000aeb7 +a00e8e93 +00c00193 +2fd31063 +00000213 +00f000b7 +00b00137 +0220bf33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +0000aeb7 +500e8e93 +00d00193 +2bd31463 +00000213 +00d000b7 +00b00137 +0220bf33 +00120213 +00200293 +fe5216e3 +00009eb7 +f00e8e93 +00e00193 +27df1e63 +00000213 +00e000b7 +00b00137 +00000013 +0220bf33 +00120213 +00200293 +fe5214e3 +0000aeb7 +a00e8e93 +00f00193 +25df1663 +00000213 +00f000b7 +00b00137 +00000013 +00000013 +0220bf33 +00120213 +00200293 +fe5212e3 +0000aeb7 +500e8e93 +01000193 +21df1c63 +00000213 +00d000b7 +00000013 +00b00137 +0220bf33 +00120213 +00200293 +fe5214e3 +00009eb7 +f00e8e93 +01100193 +1fdf1463 +00000213 +00e000b7 +00000013 +00b00137 +00000013 +0220bf33 +00120213 +00200293 +fe5212e3 +0000aeb7 +a00e8e93 +01200193 +1bdf1a63 +00000213 +00f000b7 +00000013 +00000013 +00b00137 +0220bf33 +00120213 +00200293 +fe5212e3 +0000aeb7 +500e8e93 +01300193 +19df1063 +00000213 +00b00137 +00d000b7 +0220bf33 +00120213 +00200293 +fe5216e3 +00009eb7 +f00e8e93 +01400193 +15df1a63 +00000213 +00b00137 +00e000b7 +00000013 +0220bf33 +00120213 +00200293 +fe5214e3 +0000aeb7 +a00e8e93 +01500193 +13df1263 +00000213 +00b00137 +00f000b7 +00000013 +00000013 +0220bf33 +00120213 +00200293 +fe5212e3 +0000aeb7 +500e8e93 +01600193 +0fdf1863 +00000213 +00b00137 +00000013 +00d000b7 +0220bf33 +00120213 +00200293 +fe5214e3 +00009eb7 +f00e8e93 +01700193 +0ddf1063 +00000213 +00b00137 +00000013 +00e000b7 +00000013 +0220bf33 +00120213 +00200293 +fe5212e3 +0000aeb7 +a00e8e93 +01800193 +09df1663 +00000213 +00b00137 +00000013 +00000013 +00f000b7 +0220bf33 +00120213 +00200293 +fe5212e3 +0000aeb7 +500e8e93 +01900193 +05df1c63 +7c0000b7 +02103133 +00000e93 +01a00193 +05d11263 +800000b7 +0200b133 +00000e93 +01b00193 +03d11863 +020030b3 +00000e93 +01c00193 +03d09063 +021000b7 +02200137 +0220b033 +00000e93 +01d00193 +01d01463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32um-p-mulhu.verilog b/tests/isa/generated/rv32um-p-mulhu.verilog old mode 100644 new mode 100755 index 022c67c..47b4e4b --- a/tests/isa/generated/rv32um-p-mulhu.verilog +++ b/tests/isa/generated/rv32um-p-mulhu.verilog @@ -1,88 +1,88 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 -33 BF 20 02 93 0E 00 00 93 01 20 00 63 1A DF 4B -93 00 10 00 13 01 10 00 33 BF 20 02 93 0E 00 00 -93 01 30 00 63 1E DF 49 93 00 30 00 13 01 70 00 -33 BF 20 02 93 0E 00 00 93 01 40 00 63 12 DF 49 -93 00 00 00 37 81 FF FF 33 BF 20 02 93 0E 00 00 -93 01 50 00 63 16 DF 47 B7 00 00 80 13 01 00 00 -33 BF 20 02 93 0E 00 00 93 01 60 00 63 1A DF 45 -B7 00 00 80 37 81 FF FF 33 BF 20 02 B7 CE FF 7F -93 01 70 00 63 1E DF 43 B7 B0 AA AA 93 80 B0 AA -37 01 03 00 13 01 D1 E7 33 BF 20 02 B7 0E 02 00 -93 8E EE EF 93 01 E0 01 63 1C DF 41 B7 00 03 00 -93 80 D0 E7 37 B1 AA AA 13 01 B1 AA 33 BF 20 02 -B7 0E 02 00 93 8E EE EF 93 01 F0 01 63 1A DF 3F -B7 00 00 FF 37 01 00 FF 33 BF 20 02 B7 0E 01 FE -93 01 00 02 63 1E DF 3D 93 00 F0 FF 13 01 F0 FF -33 BF 20 02 93 0E E0 FF 93 01 10 02 63 12 DF 3D -93 00 F0 FF 13 01 10 00 33 BF 20 02 93 0E 00 00 -93 01 20 02 63 16 DF 3B 93 00 10 00 13 01 F0 FF -33 BF 20 02 93 0E 00 00 93 01 30 02 63 1A DF 39 -B7 00 D0 00 37 01 B0 00 B3 B0 20 02 B7 9E 00 00 -93 8E 0E F0 93 01 80 00 63 9C D0 37 B7 00 E0 00 -37 01 B0 00 33 B1 20 02 B7 AE 00 00 93 8E 0E A0 -93 01 90 00 63 1E D1 35 B7 00 D0 00 B3 B0 10 02 -B7 BE 00 00 93 8E 0E 90 93 01 A0 00 63 92 D0 35 -13 02 00 00 B7 00 D0 00 37 01 B0 00 33 BF 20 02 -13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 9E 00 00 93 8E 0E F0 93 01 B0 00 63 1A D3 31 -13 02 00 00 B7 00 E0 00 37 01 B0 00 33 BF 20 02 -13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 AE 00 00 93 8E 0E A0 93 01 C0 00 -63 10 D3 2F 13 02 00 00 B7 00 F0 00 37 01 B0 00 -33 BF 20 02 13 00 00 00 13 00 00 00 13 03 0F 00 -13 02 12 00 93 02 20 00 E3 10 52 FE B7 AE 00 00 -93 8E 0E 50 93 01 D0 00 63 14 D3 2B 13 02 00 00 -B7 00 D0 00 37 01 B0 00 33 BF 20 02 13 02 12 00 -93 02 20 00 E3 16 52 FE B7 9E 00 00 93 8E 0E F0 -93 01 E0 00 63 1E DF 27 13 02 00 00 B7 00 E0 00 -37 01 B0 00 13 00 00 00 33 BF 20 02 13 02 12 00 -93 02 20 00 E3 14 52 FE B7 AE 00 00 93 8E 0E A0 -93 01 F0 00 63 16 DF 25 13 02 00 00 B7 00 F0 00 -37 01 B0 00 13 00 00 00 13 00 00 00 33 BF 20 02 -13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 -93 8E 0E 50 93 01 00 01 63 1C DF 21 13 02 00 00 -B7 00 D0 00 13 00 00 00 37 01 B0 00 33 BF 20 02 -13 02 12 00 93 02 20 00 E3 14 52 FE B7 9E 00 00 -93 8E 0E F0 93 01 10 01 63 14 DF 1F 13 02 00 00 -B7 00 E0 00 13 00 00 00 37 01 B0 00 13 00 00 00 -33 BF 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE -B7 AE 00 00 93 8E 0E A0 93 01 20 01 63 1A DF 1B -13 02 00 00 B7 00 F0 00 13 00 00 00 13 00 00 00 -37 01 B0 00 33 BF 20 02 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 30 01 -63 10 DF 19 13 02 00 00 37 01 B0 00 B7 00 D0 00 -33 BF 20 02 13 02 12 00 93 02 20 00 E3 16 52 FE -B7 9E 00 00 93 8E 0E F0 93 01 40 01 63 1A DF 15 -13 02 00 00 37 01 B0 00 B7 00 E0 00 13 00 00 00 -33 BF 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE -B7 AE 00 00 93 8E 0E A0 93 01 50 01 63 12 DF 13 -13 02 00 00 37 01 B0 00 B7 00 F0 00 13 00 00 00 -13 00 00 00 33 BF 20 02 13 02 12 00 93 02 20 00 -E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 60 01 -63 18 DF 0F 13 02 00 00 37 01 B0 00 13 00 00 00 -B7 00 D0 00 33 BF 20 02 13 02 12 00 93 02 20 00 -E3 14 52 FE B7 9E 00 00 93 8E 0E F0 93 01 70 01 -63 10 DF 0D 13 02 00 00 37 01 B0 00 13 00 00 00 -B7 00 E0 00 13 00 00 00 33 BF 20 02 13 02 12 00 -93 02 20 00 E3 12 52 FE B7 AE 00 00 93 8E 0E A0 -93 01 80 01 63 16 DF 09 13 02 00 00 37 01 B0 00 -13 00 00 00 13 00 00 00 B7 00 F0 00 33 BF 20 02 -13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 -93 8E 0E 50 93 01 90 01 63 1C DF 05 B7 00 00 7C -33 31 10 02 93 0E 00 00 93 01 A0 01 63 12 D1 05 -B7 00 00 80 33 B1 00 02 93 0E 00 00 93 01 B0 01 -63 18 D1 03 B3 30 00 02 93 0E 00 00 93 01 C0 01 -63 90 D0 03 B7 00 10 02 37 01 20 02 33 B0 20 02 -93 0E 00 00 93 01 D0 01 63 14 D0 01 63 18 30 00 -13 0D 10 00 93 0D 00 00 6F 00 00 00 13 0D 10 00 -93 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 -@00000540 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 00 00 13 01 00 00 +33 BF 20 02 93 0E 00 00 93 01 20 00 63 1A DF 4B +93 00 10 00 13 01 10 00 33 BF 20 02 93 0E 00 00 +93 01 30 00 63 1E DF 49 93 00 30 00 13 01 70 00 +33 BF 20 02 93 0E 00 00 93 01 40 00 63 12 DF 49 +93 00 00 00 37 81 FF FF 33 BF 20 02 93 0E 00 00 +93 01 50 00 63 16 DF 47 B7 00 00 80 13 01 00 00 +33 BF 20 02 93 0E 00 00 93 01 60 00 63 1A DF 45 +B7 00 00 80 37 81 FF FF 33 BF 20 02 B7 CE FF 7F +93 01 70 00 63 1E DF 43 B7 B0 AA AA 93 80 B0 AA +37 01 03 00 13 01 D1 E7 33 BF 20 02 B7 0E 02 00 +93 8E EE EF 93 01 E0 01 63 1C DF 41 B7 00 03 00 +93 80 D0 E7 37 B1 AA AA 13 01 B1 AA 33 BF 20 02 +B7 0E 02 00 93 8E EE EF 93 01 F0 01 63 1A DF 3F +B7 00 00 FF 37 01 00 FF 33 BF 20 02 B7 0E 01 FE +93 01 00 02 63 1E DF 3D 93 00 F0 FF 13 01 F0 FF +33 BF 20 02 93 0E E0 FF 93 01 10 02 63 12 DF 3D +93 00 F0 FF 13 01 10 00 33 BF 20 02 93 0E 00 00 +93 01 20 02 63 16 DF 3B 93 00 10 00 13 01 F0 FF +33 BF 20 02 93 0E 00 00 93 01 30 02 63 1A DF 39 +B7 00 D0 00 37 01 B0 00 B3 B0 20 02 B7 9E 00 00 +93 8E 0E F0 93 01 80 00 63 9C D0 37 B7 00 E0 00 +37 01 B0 00 33 B1 20 02 B7 AE 00 00 93 8E 0E A0 +93 01 90 00 63 1E D1 35 B7 00 D0 00 B3 B0 10 02 +B7 BE 00 00 93 8E 0E 90 93 01 A0 00 63 92 D0 35 +13 02 00 00 B7 00 D0 00 37 01 B0 00 33 BF 20 02 +13 03 0F 00 13 02 12 00 93 02 20 00 E3 14 52 FE +B7 9E 00 00 93 8E 0E F0 93 01 B0 00 63 1A D3 31 +13 02 00 00 B7 00 E0 00 37 01 B0 00 33 BF 20 02 +13 00 00 00 13 03 0F 00 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 AE 00 00 93 8E 0E A0 93 01 C0 00 +63 10 D3 2F 13 02 00 00 B7 00 F0 00 37 01 B0 00 +33 BF 20 02 13 00 00 00 13 00 00 00 13 03 0F 00 +13 02 12 00 93 02 20 00 E3 10 52 FE B7 AE 00 00 +93 8E 0E 50 93 01 D0 00 63 14 D3 2B 13 02 00 00 +B7 00 D0 00 37 01 B0 00 33 BF 20 02 13 02 12 00 +93 02 20 00 E3 16 52 FE B7 9E 00 00 93 8E 0E F0 +93 01 E0 00 63 1E DF 27 13 02 00 00 B7 00 E0 00 +37 01 B0 00 13 00 00 00 33 BF 20 02 13 02 12 00 +93 02 20 00 E3 14 52 FE B7 AE 00 00 93 8E 0E A0 +93 01 F0 00 63 16 DF 25 13 02 00 00 B7 00 F0 00 +37 01 B0 00 13 00 00 00 13 00 00 00 33 BF 20 02 +13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 +93 8E 0E 50 93 01 00 01 63 1C DF 21 13 02 00 00 +B7 00 D0 00 13 00 00 00 37 01 B0 00 33 BF 20 02 +13 02 12 00 93 02 20 00 E3 14 52 FE B7 9E 00 00 +93 8E 0E F0 93 01 10 01 63 14 DF 1F 13 02 00 00 +B7 00 E0 00 13 00 00 00 37 01 B0 00 13 00 00 00 +33 BF 20 02 13 02 12 00 93 02 20 00 E3 12 52 FE +B7 AE 00 00 93 8E 0E A0 93 01 20 01 63 1A DF 1B +13 02 00 00 B7 00 F0 00 13 00 00 00 13 00 00 00 +37 01 B0 00 33 BF 20 02 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 30 01 +63 10 DF 19 13 02 00 00 37 01 B0 00 B7 00 D0 00 +33 BF 20 02 13 02 12 00 93 02 20 00 E3 16 52 FE +B7 9E 00 00 93 8E 0E F0 93 01 40 01 63 1A DF 15 +13 02 00 00 37 01 B0 00 B7 00 E0 00 13 00 00 00 +33 BF 20 02 13 02 12 00 93 02 20 00 E3 14 52 FE +B7 AE 00 00 93 8E 0E A0 93 01 50 01 63 12 DF 13 +13 02 00 00 37 01 B0 00 B7 00 F0 00 13 00 00 00 +13 00 00 00 33 BF 20 02 13 02 12 00 93 02 20 00 +E3 12 52 FE B7 AE 00 00 93 8E 0E 50 93 01 60 01 +63 18 DF 0F 13 02 00 00 37 01 B0 00 13 00 00 00 +B7 00 D0 00 33 BF 20 02 13 02 12 00 93 02 20 00 +E3 14 52 FE B7 9E 00 00 93 8E 0E F0 93 01 70 01 +63 10 DF 0D 13 02 00 00 37 01 B0 00 13 00 00 00 +B7 00 E0 00 13 00 00 00 33 BF 20 02 13 02 12 00 +93 02 20 00 E3 12 52 FE B7 AE 00 00 93 8E 0E A0 +93 01 80 01 63 16 DF 09 13 02 00 00 37 01 B0 00 +13 00 00 00 13 00 00 00 B7 00 F0 00 33 BF 20 02 +13 02 12 00 93 02 20 00 E3 12 52 FE B7 AE 00 00 +93 8E 0E 50 93 01 90 01 63 1C DF 05 B7 00 00 7C +33 31 10 02 93 0E 00 00 93 01 A0 01 63 12 D1 05 +B7 00 00 80 33 B1 00 02 93 0E 00 00 93 01 B0 01 +63 18 D1 03 B3 30 00 02 93 0E 00 00 93 01 C0 01 +63 90 D0 03 B7 00 10 02 37 01 20 02 33 B0 20 02 +93 0E 00 00 93 01 D0 01 63 14 D0 01 63 18 30 00 +93 0D 00 00 13 0D 10 00 6F 00 00 00 93 0D 10 00 +13 0D 10 00 6F 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 +@00000540 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32um-p-rem b/tests/isa/generated/rv32um-p-rem old mode 100644 new mode 100755 index 82b1da5..22e6adb Binary files a/tests/isa/generated/rv32um-p-rem and b/tests/isa/generated/rv32um-p-rem differ diff --git a/tests/isa/generated/rv32um-p-rem.bin b/tests/isa/generated/rv32um-p-rem.bin old mode 100644 new mode 100755 index 5c122a6..d9e66d0 Binary files a/tests/isa/generated/rv32um-p-rem.bin and b/tests/isa/generated/rv32um-p-rem.bin differ diff --git a/tests/isa/generated/rv32um-p-rem.dump b/tests/isa/generated/rv32um-p-rem.dump index 3b3239a..fb6fa0e 100644 --- a/tests/isa/generated/rv32um-p-rem.dump +++ b/tests/isa/generated/rv32um-p-rem.dump @@ -82,15 +82,15 @@ Disassembly of section .text.init: e0: 00301863 bne zero,gp,f0 000000e4 : - e4: 00100d13 li s10,1 - e8: 00000d93 li s11,0 + e4: 00000d93 li s11,0 + e8: 00100d13 li s10,1 000000ec : ec: 0000006f j ec 000000f0 : - f0: 00100d13 li s10,1 - f4: 00100d93 li s11,1 + f0: 00100d93 li s11,1 + f4: 00100d13 li s10,1 000000f8 : f8: 0000006f j f8 @@ -103,3 +103,22 @@ Disassembly of section .tohost: 00000180 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1e41 addi t3,t3,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0014 0x14 + e: 0000 unimp + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 5f30 lw a2,120(a4) + 1a: 326d jal fffff9c4 + 1c: 3070 fld fa2,224(s0) + ... diff --git a/tests/isa/generated/rv32um-p-rem.mem b/tests/isa/generated/rv32um-p-rem.mem new file mode 100644 index 0000000..6fd43b2 --- /dev/null +++ b/tests/isa/generated/rv32um-p-rem.mem @@ -0,0 +1,98 @@ +00000d13 +00000d93 +01400093 +00600113 +0220ef33 +00200e93 +00200193 +0ddf1463 +fec00093 +00600113 +0220ef33 +ffe00e93 +00300193 +0bdf1863 +01400093 +ffa00113 +0220ef33 +00200e93 +00400193 +09df1c63 +fec00093 +ffa00113 +0220ef33 +ffe00e93 +00500193 +09df1063 +00000093 +00100113 +0220ef33 +00000e93 +00600193 +07df1463 +00000093 +fff00113 +0220ef33 +00000e93 +00700193 +05df1863 +00000093 +00000113 +0220ef33 +00000e93 +00800193 +03df1c63 +00100093 +00000113 +0220ef33 +00100e93 +00900193 +03df1063 +00000093 +00000113 +0220ef33 +00000e93 +00a00193 +01df1463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32um-p-rem.verilog b/tests/isa/generated/rv32um-p-rem.verilog old mode 100644 new mode 100755 index d458ac2..4b3fba4 --- a/tests/isa/generated/rv32um-p-rem.verilog +++ b/tests/isa/generated/rv32um-p-rem.verilog @@ -1,24 +1,24 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 40 01 13 01 60 00 -33 EF 20 02 93 0E 20 00 93 01 20 00 63 14 DF 0D -93 00 C0 FE 13 01 60 00 33 EF 20 02 93 0E E0 FF -93 01 30 00 63 18 DF 0B 93 00 40 01 13 01 A0 FF -33 EF 20 02 93 0E 20 00 93 01 40 00 63 1C DF 09 -93 00 C0 FE 13 01 A0 FF 33 EF 20 02 93 0E E0 FF -93 01 50 00 63 10 DF 09 93 00 00 00 13 01 10 00 -33 EF 20 02 93 0E 00 00 93 01 60 00 63 14 DF 07 -93 00 00 00 13 01 F0 FF 33 EF 20 02 93 0E 00 00 -93 01 70 00 63 18 DF 05 93 00 00 00 13 01 00 00 -33 EF 20 02 93 0E 00 00 93 01 80 00 63 1C DF 03 -93 00 10 00 13 01 00 00 33 EF 20 02 93 0E 10 00 -93 01 90 00 63 10 DF 03 93 00 00 00 13 01 00 00 -33 EF 20 02 93 0E 00 00 93 01 A0 00 63 14 DF 01 -63 18 30 00 13 0D 10 00 93 0D 00 00 6F 00 00 00 -13 0D 10 00 93 0D 10 00 6F 00 00 00 00 00 00 00 -00 00 00 00 -@00000140 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 40 01 13 01 60 00 +33 EF 20 02 93 0E 20 00 93 01 20 00 63 14 DF 0D +93 00 C0 FE 13 01 60 00 33 EF 20 02 93 0E E0 FF +93 01 30 00 63 18 DF 0B 93 00 40 01 13 01 A0 FF +33 EF 20 02 93 0E 20 00 93 01 40 00 63 1C DF 09 +93 00 C0 FE 13 01 A0 FF 33 EF 20 02 93 0E E0 FF +93 01 50 00 63 10 DF 09 93 00 00 00 13 01 10 00 +33 EF 20 02 93 0E 00 00 93 01 60 00 63 14 DF 07 +93 00 00 00 13 01 F0 FF 33 EF 20 02 93 0E 00 00 +93 01 70 00 63 18 DF 05 93 00 00 00 13 01 00 00 +33 EF 20 02 93 0E 00 00 93 01 80 00 63 1C DF 03 +93 00 10 00 13 01 00 00 33 EF 20 02 93 0E 10 00 +93 01 90 00 63 10 DF 03 93 00 00 00 13 01 00 00 +33 EF 20 02 93 0E 00 00 93 01 A0 00 63 14 DF 01 +63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00 +93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00 +00 00 00 00 +@00000140 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/generated/rv32um-p-remu b/tests/isa/generated/rv32um-p-remu old mode 100644 new mode 100755 index 3fc8d89..37c00a9 Binary files a/tests/isa/generated/rv32um-p-remu and b/tests/isa/generated/rv32um-p-remu differ diff --git a/tests/isa/generated/rv32um-p-remu.bin b/tests/isa/generated/rv32um-p-remu.bin old mode 100644 new mode 100755 index e494470..c8523e2 Binary files a/tests/isa/generated/rv32um-p-remu.bin and b/tests/isa/generated/rv32um-p-remu.bin differ diff --git a/tests/isa/generated/rv32um-p-remu.dump b/tests/isa/generated/rv32um-p-remu.dump index 7b978c1..9861a8b 100644 --- a/tests/isa/generated/rv32um-p-remu.dump +++ b/tests/isa/generated/rv32um-p-remu.dump @@ -82,15 +82,15 @@ Disassembly of section .text.init: e0: 00301863 bne zero,gp,f0 000000e4 : - e4: 00100d13 li s10,1 - e8: 00000d93 li s11,0 + e4: 00000d93 li s11,0 + e8: 00100d13 li s10,1 000000ec : ec: 0000006f j ec 000000f0 : - f0: 00100d13 li s10,1 - f4: 00100d93 li s11,1 + f0: 00100d93 li s11,1 + f4: 00100d13 li s10,1 000000f8 : f8: 0000006f j f8 @@ -103,3 +103,22 @@ Disassembly of section .tohost: 00000180 : ... + +Disassembly of section .riscv.attributes: + +00000000 <.riscv.attributes>: + 0: 1e41 addi t3,t3,-16 + 2: 0000 unimp + 4: 7200 flw fs0,32(a2) + 6: 7369 lui t1,0xffffa + 8: 01007663 bgeu zero,a6,14 + c: 0014 0x14 + e: 0000 unimp + 10: 7205 lui tp,0xfffe1 + 12: 3376 fld ft6,376(sp) + 14: 6932 flw fs2,12(sp) + 16: 7032 flw ft0,44(sp) + 18: 5f30 lw a2,120(a4) + 1a: 326d jal fffff9c4 + 1c: 3070 fld fa2,224(s0) + ... diff --git a/tests/isa/generated/rv32um-p-remu.mem b/tests/isa/generated/rv32um-p-remu.mem new file mode 100644 index 0000000..927d8f1 --- /dev/null +++ b/tests/isa/generated/rv32um-p-remu.mem @@ -0,0 +1,98 @@ +00000d13 +00000d93 +01400093 +00600113 +0220ff33 +00200e93 +00200193 +0ddf1463 +fec00093 +00600113 +0220ff33 +00200e93 +00300193 +0bdf1863 +01400093 +ffa00113 +0220ff33 +01400e93 +00400193 +09df1c63 +fec00093 +ffa00113 +0220ff33 +fec00e93 +00500193 +09df1063 +00000093 +00100113 +0220ff33 +00000e93 +00600193 +07df1463 +00000093 +fff00113 +0220ff33 +00000e93 +00700193 +05df1863 +00000093 +00000113 +0220ff33 +00000e93 +00800193 +03df1c63 +00100093 +00000113 +0220ff33 +00100e93 +00900193 +03df1063 +00000093 +00000113 +0220ff33 +00000e93 +00a00193 +01df1463 +00301863 +00000d93 +00100d13 +0000006f +00100d93 +00100d13 +0000006f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/tests/isa/generated/rv32um-p-remu.verilog b/tests/isa/generated/rv32um-p-remu.verilog old mode 100644 new mode 100755 index 60aad77..87fba21 --- a/tests/isa/generated/rv32um-p-remu.verilog +++ b/tests/isa/generated/rv32um-p-remu.verilog @@ -1,24 +1,24 @@ -@00000000 -13 0D 00 00 93 0D 00 00 93 00 40 01 13 01 60 00 -33 FF 20 02 93 0E 20 00 93 01 20 00 63 14 DF 0D -93 00 C0 FE 13 01 60 00 33 FF 20 02 93 0E 20 00 -93 01 30 00 63 18 DF 0B 93 00 40 01 13 01 A0 FF -33 FF 20 02 93 0E 40 01 93 01 40 00 63 1C DF 09 -93 00 C0 FE 13 01 A0 FF 33 FF 20 02 93 0E C0 FE -93 01 50 00 63 10 DF 09 93 00 00 00 13 01 10 00 -33 FF 20 02 93 0E 00 00 93 01 60 00 63 14 DF 07 -93 00 00 00 13 01 F0 FF 33 FF 20 02 93 0E 00 00 -93 01 70 00 63 18 DF 05 93 00 00 00 13 01 00 00 -33 FF 20 02 93 0E 00 00 93 01 80 00 63 1C DF 03 -93 00 10 00 13 01 00 00 33 FF 20 02 93 0E 10 00 -93 01 90 00 63 10 DF 03 93 00 00 00 13 01 00 00 -33 FF 20 02 93 0E 00 00 93 01 A0 00 63 14 DF 01 -63 18 30 00 13 0D 10 00 93 0D 00 00 6F 00 00 00 -13 0D 10 00 93 0D 10 00 6F 00 00 00 00 00 00 00 -00 00 00 00 -@00000140 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 -00 00 00 00 00 00 00 00 +@00000000 +13 0D 00 00 93 0D 00 00 93 00 40 01 13 01 60 00 +33 FF 20 02 93 0E 20 00 93 01 20 00 63 14 DF 0D +93 00 C0 FE 13 01 60 00 33 FF 20 02 93 0E 20 00 +93 01 30 00 63 18 DF 0B 93 00 40 01 13 01 A0 FF +33 FF 20 02 93 0E 40 01 93 01 40 00 63 1C DF 09 +93 00 C0 FE 13 01 A0 FF 33 FF 20 02 93 0E C0 FE +93 01 50 00 63 10 DF 09 93 00 00 00 13 01 10 00 +33 FF 20 02 93 0E 00 00 93 01 60 00 63 14 DF 07 +93 00 00 00 13 01 F0 FF 33 FF 20 02 93 0E 00 00 +93 01 70 00 63 18 DF 05 93 00 00 00 13 01 00 00 +33 FF 20 02 93 0E 00 00 93 01 80 00 63 1C DF 03 +93 00 10 00 13 01 00 00 33 FF 20 02 93 0E 10 00 +93 01 90 00 63 10 DF 03 93 00 00 00 13 01 00 00 +33 FF 20 02 93 0E 00 00 93 01 A0 00 63 14 DF 01 +63 18 30 00 93 0D 00 00 13 0D 10 00 6F 00 00 00 +93 0D 10 00 13 0D 10 00 6F 00 00 00 00 00 00 00 +00 00 00 00 +@00000140 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 +00 00 00 00 00 00 00 00 diff --git a/tests/isa/riscv_test.h b/tests/isa/riscv_test.h index 74ae810..604a666 100644 --- a/tests/isa/riscv_test.h +++ b/tests/isa/riscv_test.h @@ -127,16 +127,16 @@ _start: \ //----------------------------------------------------------------------- #define RVTEST_PASS \ - li x26, 0x01; \ li x27, 0x01; \ + li x26, 0x01; \ loop_pass: \ j loop_pass #define TESTNUM gp #define RVTEST_FAIL \ - li x26, 0x01; \ li x27, 0x00; \ + li x26, 0x01; \ loop_fail: \ j loop_fail