From 317061682d392237198ae6887f2b8d1cfaecb964 Mon Sep 17 00:00:00 2001 From: liangkangnan Date: Fri, 26 Jun 2020 22:41:48 +0800 Subject: [PATCH] fpga: constrs: add uart rx pin Signed-off-by: liangkangnan --- fpga/constrs/tinyriscv.xdc | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/fpga/constrs/tinyriscv.xdc b/fpga/constrs/tinyriscv.xdc index 3bf6828..078ffaf 100644 --- a/fpga/constrs/tinyriscv.xdc +++ b/fpga/constrs/tinyriscv.xdc @@ -26,6 +26,10 @@ set_property PACKAGE_PIN P15 [get_ports halted_ind] set_property IOSTANDARD LVCMOS33 [get_ports tx_pin] set_property PACKAGE_PIN M6 [get_ports tx_pin] +# 串口接收引脚 +set_property IOSTANDARD LVCMOS33 [get_ports rx_pin] +set_property PACKAGE_PIN N6 [get_ports rx_pin] + # GPIO0引脚 set_property IOSTANDARD LVCMOS33 [get_ports {gpio[0]}] set_property PACKAGE_PIN P16 [get_ports {gpio[0]}]