From 233bb1fb236b3811d812aeb6b85d2e04af21a18b Mon Sep 17 00:00:00 2001 From: liangkangnan Date: Sat, 25 Jul 2020 16:20:54 +0800 Subject: [PATCH] fpga: constrs: do not constraint JTAG_CLK clk Signed-off-by: liangkangnan --- fpga/constrs/tinyriscv.xdc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/fpga/constrs/tinyriscv.xdc b/fpga/constrs/tinyriscv.xdc index 8614e72..477e264 100644 --- a/fpga/constrs/tinyriscv.xdc +++ b/fpga/constrs/tinyriscv.xdc @@ -46,7 +46,7 @@ set_property PACKAGE_PIN T15 [get_ports {gpio[1]}] set_property IOSTANDARD LVCMOS33 [get_ports jtag_TCK] set_property PACKAGE_PIN N11 [get_ports jtag_TCK] -create_clock -name jtag_clk_pin -period 300 [get_ports {jtag_TCK}]; +#create_clock -name jtag_clk_pin -period 300 [get_ports {jtag_TCK}]; # JTAG TMS引脚 set_property IOSTANDARD LVCMOS33 [get_ports jtag_TMS]