From 22ed29a1498bb7e2bae36e68fa4a1535cc4db997 Mon Sep 17 00:00:00 2001 From: liangkangnan Date: Wed, 6 May 2020 23:10:42 +0800 Subject: [PATCH] add SPI pin Signed-off-by: liangkangnan --- FPGA/constrs/tinyriscv.xdc | 14 ++++++++++++++ 1 file changed, 14 insertions(+) diff --git a/FPGA/constrs/tinyriscv.xdc b/FPGA/constrs/tinyriscv.xdc index c59c82c..4d67891 100644 --- a/FPGA/constrs/tinyriscv.xdc +++ b/FPGA/constrs/tinyriscv.xdc @@ -36,3 +36,17 @@ set_property PACKAGE_PIN N2 [get_ports jtag_TDI] set_property IOSTANDARD LVCMOS33 [get_ports jtag_TDO] set_property PACKAGE_PIN M1 [get_ports jtag_TDO] + +# SPI pin +set_property IOSTANDARD LVCMOS33 [get_ports spi_miso] +set_property PACKAGE_PIN P1 [get_ports spi_miso] + +set_property IOSTANDARD LVCMOS33 [get_ports spi_mosi] +set_property PACKAGE_PIN N1 [get_ports spi_mosi] + +set_property IOSTANDARD LVCMOS33 [get_ports spi_ss] +set_property PACKAGE_PIN M5 [get_ports spi_ss] + +set_property IOSTANDARD LVCMOS33 [get_ports spi_clk] +set_property PACKAGE_PIN N4 [get_ports spi_clk] +