pluto_hdl_adi/projects/adrv9009zu11eg/adrv2crr_fmcomms8
Adrian Costina ecd880d44c adrv9009zu11eg:fmcomms8: Fix SPI timing constraint 2020-11-05 17:42:41 +02:00
..
Makefile library: Move ad_iobuf to the common library, as it's not Xilinx specific 2020-11-02 16:13:35 +02:00
fmcomms8_constr.xdc adrv9009zu11eg:fmcomms8: Fix SPI timing constraint 2020-11-05 17:42:41 +02:00
system_bd.tcl sysid: Remove cstring init string 2020-09-30 19:12:24 +03:00
system_project.tcl library: Move ad_iobuf to the common library, as it's not Xilinx specific 2020-11-02 16:13:35 +02:00
system_top.v adrv9009zu11eg: Extend SPI connection to the PL HD PINS expansion 2020-02-18 11:19:02 +02:00