pluto_hdl_adi/projects/common/vc707
Adrian Costina a881557645 base_design: Fixed AC701 and VC707 contstraints
AC701: Modified the IOSTANDARD for some of the pins to correspond to the
AC701 user guide.
VC707: Fixed naming for some system clocks
2014-03-31 17:38:20 +03:00
..
vc707_system_bd.tcl
vc707_system_constr.xdc base_design: Fixed AC701 and VC707 contstraints 2014-03-31 17:38:20 +03:00
vc707_system_mig.prj