pluto_hdl_adi/library/util_wfifo/util_wfifo_constr.sdc

7 lines
341 B
Plaintext

set_false_path -from [get_registers *din_enable*] -to [get_registers *dout_enable_m1*]
set_false_path -from [get_registers *din_req_t*] -to [get_registers *dout_req_t_m1*]
set_false_path -from [get_registers *din_rinit*] -to [get_registers *dout_rinit*]
set_false_path -from [get_registers *dout_ovf_d*] -to [get_registers *din_ovf_m1*]