pluto_hdl_adi/library/util_clkdiv/util_clkdiv_constr.xdc

2 lines
102 B
Plaintext

set_clock_groups -group [get_clocks clk_div_4_s] -group [get_clocks clk_div_2_s] -logically_exclusive