pluto_hdl_adi/projects/daq2
Istvan Csomortani deb366d169 daq2|3: Set up OPTIMIZATION_MODE to improve timing
There are random timing violations on the A10GX board using the
DAQ3 and DAQ2 projects.

Setting the synthesis/implementation strategy to "HIGH PERFORMANCE
EFFORT" increases the success rate of the timing closure significantly.
2018-06-06 08:33:20 +01:00
..
a10gx daq2|3: Set up OPTIMIZATION_MODE to improve timing 2018-06-06 08:33:20 +01:00
a10soc Move Altera IP core dependency tracking to library Makefiles 2018-04-11 15:09:54 +03:00
common axi_ad9144: Hide unused ports in DUAL mode 2018-05-02 17:21:20 +02:00
kc705 Regenerate project Makefiles using the new shared Makefile includes 2018-04-11 15:09:54 +03:00
kcu105 Regenerate project Makefiles using the new shared Makefile includes 2018-04-11 15:09:54 +03:00
vc707 Regenerate project Makefiles using the new shared Makefile includes 2018-04-11 15:09:54 +03:00
zc706 Regenerate project Makefiles using the new shared Makefile includes 2018-04-11 15:09:54 +03:00
zcu102 Regenerate project Makefiles using the new shared Makefile includes 2018-04-11 15:09:54 +03:00
Makefile Regenerate project top-level Makefiles 2018-04-11 15:09:54 +03:00