pluto_hdl_adi/library/axi_dmac
Lars-Peter Clausen d17cd22ef1 adi_ip.tcl: Allow to directly specify the vlnv string for adi_add_bus()
Modify the adi_add_bus() function to take the full vlnv strings instead of just the bus type.

This makes the function more flexible and e.g. allows to handle buses from other vendors.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2015-04-08 13:29:25 +02:00
..
2d_transfer.v axi_dmac: Fix issues with non 64-bit AXI masters 2014-04-10 14:54:22 +02:00
Makefile makefile: updated 2015-04-07 16:32:01 -04:00
address_generator.v axi_dmac: Added fix to work with motor_control 2014-09-03 12:10:34 +03:00
address_gray.v dma: moved 2015-04-07 15:35:47 -04:00
address_gray_pipelined.v dma: moved 2015-04-07 15:35:47 -04:00
address_sync.v dma: moved 2015-04-07 15:35:47 -04:00
axi_dmac.v axi_dmac: Brought up the transfer request signal for the dest_fifo and dest_axi_stream interface. 2015-03-26 12:20:32 +02:00
axi_dmac_constr.xdc dma: constraints 2015-04-06 13:38:31 -04:00
axi_dmac_hw.tcl axi_dmac/axi_fifo: Add missing file 2014-09-15 21:04:57 +02:00
axi_dmac_ip.tcl adi_ip.tcl: Allow to directly specify the vlnv string for adi_add_bus() 2015-04-08 13:29:25 +02:00
axi_register_slice.v axi_dmac: axi_register_slice: Provide default values for registers 2014-04-10 13:50:39 +02:00
axi_repack.v axi_dmac: Add support for DMA bus widths other than 64 bit 2014-03-13 13:20:10 +01:00
data_mover.v axi_damc: Add xfer_req to the FIFO source interface 2014-07-02 16:05:16 +02:00
dest_axi_mm.v axi_dmac: Fix issues with non 64-bit AXI masters 2014-04-10 14:54:22 +02:00
dest_axi_stream.v axi_dmac: Brought up the transfer request signal for the dest_fifo and dest_axi_stream interface. 2015-03-26 12:20:32 +02:00
dest_fifo_inf.v axi_dmac: Brought up the transfer request signal for the dest_fifo and dest_axi_stream interface. 2015-03-26 12:20:32 +02:00
fifo.v dma: moved 2015-04-07 15:35:47 -04:00
inc_id.h Added axi_dmac, axi_fifo and misc files in library 2014-03-06 18:16:02 +02:00
request_arb.v dma: moved 2015-04-07 15:35:47 -04:00
request_generator.v axi_dmac: request_generator: Stop generating requests when disabled 2015-02-19 17:22:23 +01:00
resp.h Added axi_dmac, axi_fifo and misc files in library 2014-03-06 18:16:02 +02:00
response_generator.v axi_dmac: response_generator: Do not generate responses during ID sync 2014-03-18 19:12:13 +01:00
response_handler.v axi_dmac: Fix Vivado warnings 2014-03-18 20:59:13 +01:00
splitter.v Added axi_dmac, axi_fifo and misc files in library 2014-03-06 18:16:02 +02:00
src_axi_mm.v axi_dmac: Fix issues with non 64-bit AXI masters 2014-04-10 14:54:22 +02:00
src_axi_stream.v axi_dmac: Add xfer_req signal to the streamin AXI source interface 2014-10-29 18:15:54 +01:00
src_fifo_inf.v axi_dmac: fifo_inf: Handle overflow and underflow correctly 2015-02-19 17:22:21 +01:00