pluto_hdl_adi/projects/scripts
Adrian Costina 698e9f4757 Added phys_opt_design step for fixing timing
The FMCOMMS1 meets timing on ZED/ZC702 only if the phys_opt_design step
is part of the implmentation flow, with the Explore argument.
"This step performs physical optimizations such as timing-driven
replicaiton of high fanouts nets to improve timing results"
2014-03-19 16:42:44 +02:00
..
adi_env.tcl Fix default repository path for adi_project.tcl 2014-03-13 10:28:16 +02:00
adi_project.tcl Added phys_opt_design step for fixing timing 2014-03-19 16:42:44 +02:00