pluto_hdl_adi/projects/common/ac701
Laszlo Nagy 08d01789c8 microblaze: add SPI clock constraint
The SPI clock is a generated clock from the system clock. Worst case
scenario is that the system clock is divided by two.
2019-05-30 14:55:11 +03:00
..
ac701_system_bd.tcl Remove interrupts from system_top for all xilinx projects 2018-08-10 10:10:58 +03:00
ac701_system_constr.xdc microblaze: add SPI clock constraint 2019-05-30 14:55:11 +03:00
ac701_system_mig.prj Add .gitattributes file 2015-06-26 11:07:10 +02:00