pluto_hdl_adi/projects/adrv9371x/zc706/system_bd.tcl

11 lines
284 B
Tcl

set dac_fifo_name axi_ad9371_dacfifo
set dac_fifo_address_width 10
set dac_data_width 128
set dac_dma_data_width 128
source $ad_hdl_dir/projects/common/zc706/zc706_system_bd.tcl
source $ad_hdl_dir/projects/common/zc706/zc706_plddr3_dacfifo_bd.tcl
source ../common/adrv9371x_bd.tcl