pluto_hdl_adi/projects/cftl_cip/zed/system_constr.xdc

9 lines
383 B
Plaintext

# pmod connector
set_property -dict {PACKAGE_PIN Y11 IOSTANDARD LVCMOS33} [get_ports pmod_ad7091r_cs]
set_property -dict {PACKAGE_PIN Y10 IOSTANDARD LVCMOS33} [get_ports pmod_ad7091r_miso]
set_property -dict {PACKAGE_PIN AA9 IOSTANDARD LVCMOS33} [get_ports pmod_ad7091r_clk]
set_property -dict {PACKAGE_PIN AB9 IOSTANDARD LVCMOS33} [get_ports pmod_ad7091r_convst]