pluto_hdl_adi/library/xilinx
stefan.raus 9d5de2fc21 Update Vivado version to 2020.2
Update vivado version to 2020.2:
 - update default vivado version from 2020.1 to 2020.2
 - add conditions to apply specific contraints only in Out Of Context mode.
 - update DDR controler parameters for vcu118 and kcu105 dev boards
2021-07-29 14:06:42 +03:00
..
axi_adcfifo library/scripts: Rename adi_ip.tcl to adi_ip_xilinx.tcl 2019-06-29 06:53:51 +03:00
axi_adxcvr xilinx/axi_adxcvr/axi_adxcvr_mdrp: Fix read if all channels are selected 2021-06-25 14:15:59 +03:00
axi_dacfifo axi_dacfifo: Allow datawidths larger than the AXI datawidth 2020-02-18 11:19:02 +02:00
axi_xcvrlb Update Vivado version to 2020.2 2021-07-29 14:06:42 +03:00
common adrv9001: fixes for reset metastability on xilinx ioserdes 2021-07-09 11:11:04 +03:00
util_adxcvr Update Vivado version to 2020.2 2021-07-29 14:06:42 +03:00
util_clkdiv Makefile: Update makefiles 2019-08-26 16:58:01 +03:00