pluto_hdl_adi/projects/adrv9001
Laszlo Nagy 677c154134 adrv9001/zcu102/cmos: Loosen up clock skew constraints to match LVDS settings
Set the same inter clock skew characteristics as used in LVDS mode. The
physical lanes/routes are common on both modes.
2021-03-04 11:13:10 +02:00
..
common adrv9001/zcu102: Add debug header 2021-01-26 15:22:41 +02:00
zc706 adrv9001/zc706: Initial commit 2021-03-03 09:03:03 +02:00
zcu102 adrv9001/zcu102/cmos: Loosen up clock skew constraints to match LVDS settings 2021-03-04 11:13:10 +02:00
zed adrv9001/zed: Connect TDD sync to PMOD JA1 2021-01-20 13:00:01 +02:00
Makefile adrv9001:zcu102: Initial version 2020-08-24 17:49:12 +03:00