pluto_hdl_adi/library/axi_fifo2s/axi_fifo2s_constr.xdc

7 lines
168 B
Plaintext

set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports m_clk]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports axi_clk]]