pluto_hdl_adi/library/axi_fmcadc5_sync
Laszlo Nagy 70d7840c2b axi_fmcadc5_sync: define spi clock constraint
Create the spi clock based on input clock for the worst case scenario.
2019-05-30 14:55:11 +03:00
..
Makefile Move Altera IP core dependency tracking to library Makefiles 2018-04-11 15:09:54 +03:00
axi_fmcadc5_sync.v Add FPGA info parameters flow 2019-03-30 11:26:11 +02:00
axi_fmcadc5_sync_calcor.v license: Fix a spelling mistake 2018-04-11 15:09:54 +03:00
axi_fmcadc5_sync_constr.xdc axi_fmcadc5_sync: define spi clock constraint 2019-05-30 14:55:11 +03:00
axi_fmcadc5_sync_ip.tcl library: Update scripts/adi_ad_ip.tcl and IPs 2019-04-09 16:07:14 +03:00