pluto_hdl_adi/library/axi_ad9625/axi_ad9625_constr.xdc

5 lines
176 B
Plaintext

set_clock_groups -asynchronous -group [get_clocks -of_objects [get_pins {*/rx_clk}]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_pins {*/s_axi_aclk}]]