pluto_hdl_adi/library/axi_dmac/tb
Istvan Csomortani 46f16f0e99 axi_dmac/tb: Add support for xsim
Add support for Vivado's simulator. By default the run script is using
the Icarus simulator.

If the user want to switch to another simulator, it can be explicitly
specify the required simulator tool in the SIMULATOR variable.
Currently, beside Icarus, Modelsim (SIMULATOR="modelsim") and Vivado's
xsim (SIMULATOR="xsim") is supported.
2018-11-07 12:13:06 +02:00
..
axi_read_slave.v Add missing timescale annotations 2018-10-17 10:32:47 +03:00
axi_slave.v Add missing timescale annotations 2018-10-17 10:32:47 +03:00
axi_write_slave.v Add missing timescale annotations 2018-10-17 10:32:47 +03:00
dma_read_shutdown_tb axi_dmac: component level testbench updates 2018-09-07 11:38:04 +03:00
dma_read_shutdown_tb.v axi_dmac: Hook up rlast for MM-AXI source interface 2018-07-03 13:44:34 +02:00
dma_read_tb axi_dmac: component level testbench updates 2018-09-07 11:38:04 +03:00
dma_read_tb.v axi_dmac: Hook up rlast for MM-AXI source interface 2018-07-03 13:44:34 +02:00
dma_write_shutdown_tb axi_dmac: component level testbench updates 2018-09-07 11:38:04 +03:00
dma_write_shutdown_tb.v axi_dmac: component level testbench updates 2018-09-07 11:38:04 +03:00
dma_write_tb axi_dmac: component level testbench updates 2018-09-07 11:38:04 +03:00
dma_write_tb.v axi_dmac: component level testbench updates 2018-09-07 11:38:04 +03:00
regmap_tb axi_dmac: component level testbench updates 2018-09-07 11:38:04 +03:00
regmap_tb.v axi_dmac: component level testbench updates 2018-09-07 11:38:04 +03:00
reset_manager_tb axi_dmac: Rework transfer shutdown 2018-07-03 13:44:34 +02:00
reset_manager_tb.v Add missing timescale annotations 2018-10-17 10:32:47 +03:00
run_tb.sh axi_dmac/tb: Add support for xsim 2018-11-07 12:13:06 +02:00
tb_base.v axi_dmac: Add simple register map testbench 2018-05-03 14:49:06 +02:00