pluto_hdl_adi/projects/adrv9001
Laszlo Nagy c5d216bba9 adrv9001/zcu102: Enable independent TX mode in CMOS
For CMOS case, lane rates are so low that reference clock of the source
synchronous interface can be routed on non-clock routes. The delays on
the clock line are adjusted by the digital interface tuning controlled
through software.

Lock down clock buffers on Rx and Tx interfaces, this avoids suboptimal
placement which causes large skew between clocks at the serdes pins.
2021-10-27 14:40:08 +03:00
..
a10soc Makefile: Fix misc makefiles from projects and library 2021-10-05 14:24:48 +03:00
common adrv9001[intel]: Add second pair of DMAs 2021-09-01 15:04:14 +03:00
zc706 Makefiles: Update header with the appropriate license 2021-09-16 16:50:53 +03:00
zcu102 adrv9001/zcu102: Enable independent TX mode in CMOS 2021-10-27 14:40:08 +03:00
zed Makefiles: Update header with the appropriate license 2021-09-16 16:50:53 +03:00
Makefile Makefiles: Update header with the appropriate license 2021-09-16 16:50:53 +03:00