// *************************************************************************** // *************************************************************************** // Copyright 2011(c) Analog Devices, Inc. // // All rights reserved. // // Redistribution and use in source and binary forms, with or without modification, // are permitted provided that the following conditions are met: // - Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // - Redistributions in binary form must reproduce the above copyright // notice, this list of conditions and the following disclaimer in // the documentation and/or other materials provided with the // distribution. // - Neither the name of Analog Devices, Inc. nor the names of its // contributors may be used to endorse or promote products derived // from this software without specific prior written permission. // - The use of this software may or may not infringe the patent rights // of one or more patent holders. This license does not release you // from the requirement that you obtain separate licenses from these // patent holders to use this software. // - Use of the software either in source or binary form, must be run // on or directly connected to an Analog Devices Inc. component. // // THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, // INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A // PARTICULAR PURPOSE ARE DISCLAIMED. // // IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, // EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY // RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR // BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, // STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF // THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. // *************************************************************************** // *************************************************************************** // *************************************************************************** // *************************************************************************** `timescale 1ns/100ps module system_top ( DDR_addr, DDR_ba, DDR_cas_n, DDR_ck_n, DDR_ck_p, DDR_cke, DDR_cs_n, DDR_dm, DDR_dq, DDR_dqs_n, DDR_dqs_p, DDR_odt, DDR_ras_n, DDR_reset_n, DDR_we_n, FIXED_IO_ddr_vrn, FIXED_IO_ddr_vrp, FIXED_IO_mio, FIXED_IO_ps_clk, FIXED_IO_ps_porb, FIXED_IO_ps_srstb, gpio_bd, hdmi_out_clk, hdmi_vsync, hdmi_hsync, hdmi_data_e, hdmi_data, spdif, iic_scl, iic_sda, rx_ref_clk_p, rx_ref_clk_n, rx_sysref_p, rx_sysref_n, rx_sync_p, rx_sync_n, rx_data_p, rx_data_n, tx_ref_clk_p, tx_ref_clk_n, tx_sysref_p, tx_sysref_n, tx_sync_p, tx_sync_n, tx_data_p, tx_data_n, adc_fdb, adc_fda, dac_irq, clkd_status, adc_pd, dac_txen, dac_reset, clkd_pd, clkd_sync, clkd_reset, spi_csn_clk, spi_csn_dac, spi_csn_adc, spi_clk, spi_sdio); inout [14:0] DDR_addr; inout [ 2:0] DDR_ba; inout DDR_cas_n; inout DDR_ck_n; inout DDR_ck_p; inout DDR_cke; inout DDR_cs_n; inout [ 3:0] DDR_dm; inout [31:0] DDR_dq; inout [ 3:0] DDR_dqs_n; inout [ 3:0] DDR_dqs_p; inout DDR_odt; inout DDR_ras_n; inout DDR_reset_n; inout DDR_we_n; inout FIXED_IO_ddr_vrn; inout FIXED_IO_ddr_vrp; inout [53:0] FIXED_IO_mio; inout FIXED_IO_ps_clk; inout FIXED_IO_ps_porb; inout FIXED_IO_ps_srstb; inout [14:0] gpio_bd; output hdmi_out_clk; output hdmi_vsync; output hdmi_hsync; output hdmi_data_e; output [23:0] hdmi_data; output spdif; inout iic_scl; inout iic_sda; input rx_ref_clk_p; input rx_ref_clk_n; input rx_sysref_p; input rx_sysref_n; output rx_sync_p; output rx_sync_n; input [ 3:0] rx_data_p; input [ 3:0] rx_data_n; input tx_ref_clk_p; input tx_ref_clk_n; input tx_sysref_p; input tx_sysref_n; input tx_sync_p; input tx_sync_n; output [ 3:0] tx_data_p; output [ 3:0] tx_data_n; inout adc_fdb; inout adc_fda; inout dac_irq; inout [ 1:0] clkd_status; inout adc_pd; inout dac_txen; inout dac_reset; inout clkd_pd; inout clkd_sync; inout clkd_reset; output spi_csn_clk; output spi_csn_dac; output spi_csn_adc; output spi_clk; inout spi_sdio; // internal signals wire [42:0] gpio_i; wire [42:0] gpio_o; wire [42:0] gpio_t; wire rx_ref_clk; wire rx_sysref; wire rx_sync; wire tx_ref_clk; wire tx_sysref; wire tx_sync; wire [ 2:0] spi_csn; wire spi_mosi; wire spi_miso; // instantiations assign spi_csn_adc = spi_csn[2]; assign spi_csn_dac = spi_csn[1]; assign spi_csn_clk = spi_csn[0]; // instantiations IBUFDS_GTE2 i_ibufds_rx_ref_clk ( .CEB (1'd0), .I (rx_ref_clk_p), .IB (rx_ref_clk_n), .O (rx_ref_clk), .ODIV2 ()); IBUFDS i_ibufds_rx_sysref ( .I (rx_sysref_p), .IB (rx_sysref_n), .O (rx_sysref)); OBUFDS i_obufds_rx_sync ( .I (rx_sync), .O (rx_sync_p), .OB (rx_sync_n)); IBUFDS_GTE2 i_ibufds_tx_ref_clk ( .CEB (1'd0), .I (tx_ref_clk_p), .IB (tx_ref_clk_n), .O (tx_ref_clk), .ODIV2 ()); IBUFDS i_ibufds_tx_sysref ( .I (tx_sysref_p), .IB (tx_sysref_n), .O (tx_sysref)); IBUFDS i_ibufds_tx_sync ( .I (tx_sync_p), .IB (tx_sync_n), .O (tx_sync)); daq2_spi i_spi ( .spi_csn (spi_csn), .spi_clk (spi_clk), .spi_mosi (spi_mosi), .spi_miso (spi_miso), .spi_sdio (spi_sdio)); IOBUF i_iobuf_gpio_adc_pd ( .I (gpio_o[42]), .O (gpio_i[42]), .T (gpio_t[42]), .IO (adc_pd)); IOBUF i_iobuf_gpio_dac_txen ( .I (gpio_o[41]), .O (gpio_i[41]), .T (gpio_t[41]), .IO (dac_txen)); IOBUF i_iobuf_gpio_dac_reset ( .I (gpio_o[40]), .O (gpio_i[40]), .T (gpio_t[40]), .IO (dac_reset)); IOBUF i_iobuf_gpio_clkd_pd ( .I (gpio_o[39]), .O (gpio_i[39]), .T (gpio_t[39]), .IO (clkd_pd)); IOBUF i_iobuf_gpio_clkd_sync ( .I (gpio_o[38]), .O (gpio_i[38]), .T (gpio_t[38]), .IO (clkd_sync)); IOBUF i_iobuf_gpio_clkd_reset ( .I (gpio_o[37]), .O (gpio_i[37]), .T (gpio_t[37]), .IO (clkd_reset)); IOBUF i_iobuf_gpio_adc_fdb ( .I (gpio_o[36]), .O (gpio_i[36]), .T (gpio_t[36]), .IO (adc_fdb)); IOBUF i_iobuf_gpio_adc_fda ( .I (gpio_o[35]), .O (gpio_i[35]), .T (gpio_t[35]), .IO (adc_fda)); IOBUF i_iobuf_gpio_dac_irq ( .I (gpio_o[34]), .O (gpio_i[34]), .T (gpio_t[34]), .IO (dac_irq)); IOBUF i_iobuf_gpio_clkd_status_1 ( .I (gpio_o[33]), .O (gpio_i[33]), .T (gpio_t[33]), .IO (clkd_status[1])); IOBUF i_iobuf_gpio_clkd_status_0 ( .I (gpio_o[32]), .O (gpio_i[32]), .T (gpio_t[32]), .IO (clkd_status[0])); genvar n; generate for (n = 0; n <= 14; n = n + 1) begin: g_iobuf_gpio_bd IOBUF i_iobuf_gpio_bd ( .I (gpio_o[n]), .O (gpio_i[n]), .T (gpio_t[n]), .IO (gpio_bd[n])); end endgenerate system_wrapper i_system_wrapper ( .DDR_addr (DDR_addr), .DDR_ba (DDR_ba), .DDR_cas_n (DDR_cas_n), .DDR_ck_n (DDR_ck_n), .DDR_ck_p (DDR_ck_p), .DDR_cke (DDR_cke), .DDR_cs_n (DDR_cs_n), .DDR_dm (DDR_dm), .DDR_dq (DDR_dq), .DDR_dqs_n (DDR_dqs_n), .DDR_dqs_p (DDR_dqs_p), .DDR_odt (DDR_odt), .DDR_ras_n (DDR_ras_n), .DDR_reset_n (DDR_reset_n), .DDR_we_n (DDR_we_n), .FIXED_IO_ddr_vrn (FIXED_IO_ddr_vrn), .FIXED_IO_ddr_vrp (FIXED_IO_ddr_vrp), .FIXED_IO_mio (FIXED_IO_mio), .FIXED_IO_ps_clk (FIXED_IO_ps_clk), .FIXED_IO_ps_porb (FIXED_IO_ps_porb), .FIXED_IO_ps_srstb (FIXED_IO_ps_srstb), .GPIO_I (gpio_i), .GPIO_O (gpio_o), .GPIO_T (gpio_t), .hdmi_data (hdmi_data), .hdmi_data_e (hdmi_data_e), .hdmi_hsync (hdmi_hsync), .hdmi_out_clk (hdmi_out_clk), .hdmi_vsync (hdmi_vsync), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), .rx_data_n (rx_data_n), .rx_data_p (rx_data_p), .rx_ref_clk (rx_ref_clk), .rx_sync (rx_sync), .rx_sysref (rx_sysref), .spdif (spdif), .spi_clk_i (spi_clk), .spi_clk_o (spi_clk), .spi_csn_i (spi_csn), .spi_csn_o (spi_csn), .spi_sdi_i (spi_miso), .spi_sdo_i (spi_mosi), .spi_sdo_o (spi_mosi), .tx_data_n (tx_data_n), .tx_data_p (tx_data_p), .tx_ref_clk (tx_ref_clk), .tx_sync (tx_sync), .tx_sysref (tx_sysref)); endmodule // *************************************************************************** // ***************************************************************************