// *************************************************************************** // *************************************************************************** // Copyright 2011(c) Analog Devices, Inc. // // All rights reserved. // // Redistribution and use in source and binary forms, with or without modification, // are permitted provided that the following conditions are met: // - Redistributions of source code must retain the above copyright // notice, this list of conditions and the following disclaimer. // - Redistributions in binary form must reproduce the above copyright // notice, this list of conditions and the following disclaimer in // the documentation and/or other materials provided with the // distribution. // - Neither the name of Analog Devices, Inc. nor the names of its // contributors may be used to endorse or promote products derived // from this software without specific prior written permission. // - The use of this software may or may not infringe the patent rights // of one or more patent holders. This license does not release you // from the requirement that you obtain separate licenses from these // patent holders to use this software. // - Use of the software either in source or binary form, must be run // on or directly connected to an Analog Devices Inc. component. // // THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, // INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A // PARTICULAR PURPOSE ARE DISCLAIMED. // // IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, // EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY // RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR // BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, // STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF // THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. // *************************************************************************** // *************************************************************************** // *************************************************************************** // *************************************************************************** `timescale 1ns/100ps module util_wfifo ( rstn, clk, src_wr, src_wdata, src_wovf, dst_wr, dst_wdata, dst_wovf, fifo_rst, fifo_wr, fifo_wdata, fifo_wfull, fifo_wovf, fifo_rd, fifo_rdata, fifo_rempty); // parameters (read (S) bus width must be greater than write (M)) parameter SRC_DATA_WIDTH = 32; parameter DST_DATA_WIDTH = 64; // common clock input rstn; input clk; // master/slave write input src_wr; input [SRC_DATA_WIDTH-1:0] src_wdata; output src_wovf; output dst_wr; output [DST_DATA_WIDTH-1:0] dst_wdata; input dst_wovf; // fifo interface output fifo_rst; output fifo_wr; output [SRC_DATA_WIDTH-1:0] fifo_wdata; input fifo_wfull; input fifo_wovf; output fifo_rd; input [DST_DATA_WIDTH-1:0] fifo_rdata; input fifo_rempty; // internal registers reg fifo_rst = 'd0; reg src_wovf = 'd0; reg dst_wr = 'd0; // defaults always @(posedge clk or negedge rstn) begin if (rstn == 1'b0) begin fifo_rst <= 1'b1; end else begin fifo_rst <= 1'b0; end end // write is pass through (fifo can never become full nor overflow) assign fifo_wr = src_wr; genvar m; generate for (m = 0; m < SRC_DATA_WIDTH; m = m + 1) begin: g_wdata assign fifo_wdata[m] = src_wdata[(SRC_DATA_WIDTH-1)-m]; end endgenerate always @(posedge clk) begin src_wovf <= dst_wovf | fifo_wfull | fifo_wovf; end // read is non-destructive assign fifo_rd = ~fifo_rempty; always @(posedge clk) begin dst_wr <= fifo_rd; end genvar s; generate for (s = 0; s < DST_DATA_WIDTH; s = s + 1) begin: g_rdata assign dst_wdata[s] = fifo_rdata[(DST_DATA_WIDTH-1)-s]; end endgenerate endmodule // *************************************************************************** // ***************************************************************************