Commit Graph

16 Commits (3fdda617a42a3ce8b4f9fe23385f9045298e3024)

Author SHA1 Message Date
Adrian Costina dc2b37bd0c Makefile: Added top level Makefile. Modified behavior of clean and clean-all
Clean ran for a project will clean only the project files.
Clean-all ran for a project will clean also the library files on which the project depends.
The clean commands will only remove specific files and directories.

The top Makefile allows several options(per suggestion from jameyhicks):
make fmcomms1.zed will run "make all" in projects/fmcomms1/zed/
make clean will run "make clean" for all the projects
make clean-all will run "make clean" for all the projects and libraries
make lib will run "make all" for the library files
2015-04-17 17:22:38 +03:00
Adrian Costina 374f82e7de makefiles: The clean command for library won't remove the xml files, except for component.xml.
Updated also the dmac dependencies
2015-04-16 11:53:27 +03:00
Rejeesh Kutty 5f8e9a74ea makefile: updated 2015-04-07 16:32:01 -04:00
Adrian Costina f79a152958 Makefiles: updated all makefiles adding clean functionality 2015-04-03 11:57:54 +03:00
Rejeesh Kutty 109a38e0e8 makefile: added 2015-04-01 16:27:55 -04:00
Rejeesh Kutty 3bca324c33 hdmi_rx: 64bit + es split 2015-04-01 14:25:55 -04:00
Rejeesh Kutty 56165b89f7 hdmi_rx: 64bit + es split 2015-04-01 14:25:49 -04:00
Rejeesh Kutty 01d0b495ec hdmi_rx: 64bit + es split 2015-04-01 14:25:45 -04:00
Rejeesh Kutty d4763fe356 hdmi_rx: 64bit + es split 2015-04-01 14:25:41 -04:00
Istvan Csomortani e116822059 imageon_zc706: Updates and fixes
+ sync the sof to the dma_de signal
+ hdmi_rx_dma is connected to the HP1
+ fix syncronization signal in the CSC module
+ hdmi_rx_clk is asynchronous
2015-03-27 18:57:32 +02:00
Rejeesh Kutty ffe410b2dd hdmi_rx: imageon updates 2015-03-24 15:08:48 -04:00
Rejeesh Kutty 09bb184505 hdmi_rx: imageon updates 2015-03-24 15:08:48 -04:00
Rejeesh Kutty f92011f72d hdmi_rx: imageon updates 2015-03-24 15:08:48 -04:00
Rejeesh Kutty 5d50d38c66 hdmi_rx: imageon updates 2015-03-24 15:08:48 -04:00
Istvan Csomortani 80c2a5a45d axi_hdmi_rx: General clean up 2015-03-23 12:39:26 +02:00
Istvan Csomortani 14df46c193 library: Initial commit of axi_hdmi_rx ip core
Status unknown, NOT tested.
2015-01-08 16:58:56 +02:00