From fe140a054ff86ab51059f58cc7b558909df91f8f Mon Sep 17 00:00:00 2001 From: Istvan Csomortani Date: Wed, 17 May 2017 18:28:06 +0300 Subject: [PATCH] license: Fix VHDL license header --- library/axi_i2s_adi/axi_i2s_adi.vhd | 44 ++++++------- library/axi_i2s_adi/fifo_synchronizer.vhd | 72 +++++---------------- library/axi_i2s_adi/i2s_clkgen.vhd | 72 +++++---------------- library/axi_i2s_adi/i2s_controller.vhd | 72 +++++---------------- library/axi_i2s_adi/i2s_rx.vhd | 72 +++++---------------- library/axi_i2s_adi/i2s_tx.vhd | 72 +++++---------------- library/axi_spdif_rx/axi_spdif_rx.vhd | 76 ++++++----------------- 7 files changed, 125 insertions(+), 355 deletions(-) diff --git a/library/axi_i2s_adi/axi_i2s_adi.vhd b/library/axi_i2s_adi/axi_i2s_adi.vhd index b64ad3bc0..79bc55b33 100644 --- a/library/axi_i2s_adi/axi_i2s_adi.vhd +++ b/library/axi_i2s_adi/axi_i2s_adi.vhd @@ -1,25 +1,25 @@ -// *************************************************************************** -// *************************************************************************** -// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. -// -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. -// -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): -// -// 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html -// -// OR -// -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE -// -// *************************************************************************** -// *************************************************************************** +-- *************************************************************************** +-- *************************************************************************** +-- Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. +-- +-- Each core or library found in this collection may have its own licensing terms. +-- The user should keep this in in mind while exploring these cores. +-- +-- Redistribution and use in source and binary forms, +-- with or without modification of this file, are permitted under the terms of either +-- (at the option of the user): +-- +-- 1. The GNU General Public License version 2 as published by the +-- Free Software Foundation, which can be found in the top level directory, or at: +-- https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +-- +-- OR +-- +-- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +-- https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +-- +-- *************************************************************************** +-- *************************************************************************** library ieee; use ieee.std_logic_1164.all; diff --git a/library/axi_i2s_adi/fifo_synchronizer.vhd b/library/axi_i2s_adi/fifo_synchronizer.vhd index 8a456da0d..02c68a6e4 100644 --- a/library/axi_i2s_adi/fifo_synchronizer.vhd +++ b/library/axi_i2s_adi/fifo_synchronizer.vhd @@ -1,61 +1,23 @@ -// *************************************************************************** -// *************************************************************************** -// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. -// -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. -// -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): -// -// 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html -// -// OR -// -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE -// -// *************************************************************************** -// *************************************************************************** - -- *************************************************************************** -- *************************************************************************** --- Copyright 2013(c) Analog Devices, Inc. --- Author: Lars-Peter Clausen --- --- All rights reserved. --- --- Redistribution and use in source and binary forms, with or without modification, --- are permitted provided that the following conditions are met: --- - Redistributions of source code must retain the above copyright --- notice, this list of conditions and the following disclaimer. --- - Redistributions in binary form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in --- the documentation and/or other materials provided with the --- distribution. --- - Neither the name of Analog Devices, Inc. nor the names of its --- contributors may be used to endorse or promote products derived --- from this software without specific prior written permission. --- - The use of this software may or may not infringe the patent rights --- of one or more patent holders. This license does not release you --- from the requirement that you obtain separate licenses from these --- patent holders to use this software. --- - Use of the software either in source or binary form, must be run --- on or directly connected to an Analog Devices Inc. component. --- --- THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, --- INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A --- PARTICULAR PURPOSE ARE DISCLAIMED. +-- Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. +-- +-- Each core or library found in this collection may have its own licensing terms. +-- The user should keep this in in mind while exploring these cores. +-- +-- Redistribution and use in source and binary forms, +-- with or without modification of this file, are permitted under the terms of either +-- (at the option of the user): +-- +-- 1. The GNU General Public License version 2 as published by the +-- Free Software Foundation, which can be found in the top level directory, or at: +-- https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +-- +-- OR +-- +-- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +-- https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE -- --- IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, --- EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY --- RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR --- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, --- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF --- THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- *************************************************************************** -- *************************************************************************** diff --git a/library/axi_i2s_adi/i2s_clkgen.vhd b/library/axi_i2s_adi/i2s_clkgen.vhd index 3656e69c9..cb83e6b14 100644 --- a/library/axi_i2s_adi/i2s_clkgen.vhd +++ b/library/axi_i2s_adi/i2s_clkgen.vhd @@ -1,61 +1,23 @@ -// *************************************************************************** -// *************************************************************************** -// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. -// -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. -// -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): -// -// 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html -// -// OR -// -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE -// -// *************************************************************************** -// *************************************************************************** - -- *************************************************************************** -- *************************************************************************** --- Copyright 2013(c) Analog Devices, Inc. --- Author: Lars-Peter Clausen --- --- All rights reserved. --- --- Redistribution and use in source and binary forms, with or without modification, --- are permitted provided that the following conditions are met: --- - Redistributions of source code must retain the above copyright --- notice, this list of conditions and the following disclaimer. --- - Redistributions in binary form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in --- the documentation and/or other materials provided with the --- distribution. --- - Neither the name of Analog Devices, Inc. nor the names of its --- contributors may be used to endorse or promote products derived --- from this software without specific prior written permission. --- - The use of this software may or may not infringe the patent rights --- of one or more patent holders. This license does not release you --- from the requirement that you obtain separate licenses from these --- patent holders to use this software. --- - Use of the software either in source or binary form, must be run --- on or directly connected to an Analog Devices Inc. component. --- --- THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, --- INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A --- PARTICULAR PURPOSE ARE DISCLAIMED. +-- Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. +-- +-- Each core or library found in this collection may have its own licensing terms. +-- The user should keep this in in mind while exploring these cores. +-- +-- Redistribution and use in source and binary forms, +-- with or without modification of this file, are permitted under the terms of either +-- (at the option of the user): +-- +-- 1. The GNU General Public License version 2 as published by the +-- Free Software Foundation, which can be found in the top level directory, or at: +-- https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +-- +-- OR +-- +-- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +-- https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE -- --- IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, --- EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY --- RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR --- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, --- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF --- THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- *************************************************************************** -- *************************************************************************** diff --git a/library/axi_i2s_adi/i2s_controller.vhd b/library/axi_i2s_adi/i2s_controller.vhd index fcf06b8ec..4082edb8a 100644 --- a/library/axi_i2s_adi/i2s_controller.vhd +++ b/library/axi_i2s_adi/i2s_controller.vhd @@ -1,61 +1,23 @@ -// *************************************************************************** -// *************************************************************************** -// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. -// -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. -// -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): -// -// 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html -// -// OR -// -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE -// -// *************************************************************************** -// *************************************************************************** - -- *************************************************************************** -- *************************************************************************** --- Copyright 2013(c) Analog Devices, Inc. --- Author: Lars-Peter Clausen --- --- All rights reserved. --- --- Redistribution and use in source and binary forms, with or without modification, --- are permitted provided that the following conditions are met: --- - Redistributions of source code must retain the above copyright --- notice, this list of conditions and the following disclaimer. --- - Redistributions in binary form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in --- the documentation and/or other materials provided with the --- distribution. --- - Neither the name of Analog Devices, Inc. nor the names of its --- contributors may be used to endorse or promote products derived --- from this software without specific prior written permission. --- - The use of this software may or may not infringe the patent rights --- of one or more patent holders. This license does not release you --- from the requirement that you obtain separate licenses from these --- patent holders to use this software. --- - Use of the software either in source or binary form, must be run --- on or directly connected to an Analog Devices Inc. component. --- --- THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, --- INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A --- PARTICULAR PURPOSE ARE DISCLAIMED. +-- Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. +-- +-- Each core or library found in this collection may have its own licensing terms. +-- The user should keep this in in mind while exploring these cores. +-- +-- Redistribution and use in source and binary forms, +-- with or without modification of this file, are permitted under the terms of either +-- (at the option of the user): +-- +-- 1. The GNU General Public License version 2 as published by the +-- Free Software Foundation, which can be found in the top level directory, or at: +-- https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +-- +-- OR +-- +-- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +-- https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE -- --- IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, --- EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY --- RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR --- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, --- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF --- THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- *************************************************************************** -- *************************************************************************** diff --git a/library/axi_i2s_adi/i2s_rx.vhd b/library/axi_i2s_adi/i2s_rx.vhd index a5c612027..8adbd964e 100644 --- a/library/axi_i2s_adi/i2s_rx.vhd +++ b/library/axi_i2s_adi/i2s_rx.vhd @@ -1,61 +1,23 @@ -// *************************************************************************** -// *************************************************************************** -// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. -// -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. -// -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): -// -// 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html -// -// OR -// -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE -// -// *************************************************************************** -// *************************************************************************** - -- *************************************************************************** -- *************************************************************************** --- Copyright 2013(c) Analog Devices, Inc. --- Author: Lars-Peter Clausen --- --- All rights reserved. --- --- Redistribution and use in source and binary forms, with or without modification, --- are permitted provided that the following conditions are met: --- - Redistributions of source code must retain the above copyright --- notice, this list of conditions and the following disclaimer. --- - Redistributions in binary form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in --- the documentation and/or other materials provided with the --- distribution. --- - Neither the name of Analog Devices, Inc. nor the names of its --- contributors may be used to endorse or promote products derived --- from this software without specific prior written permission. --- - The use of this software may or may not infringe the patent rights --- of one or more patent holders. This license does not release you --- from the requirement that you obtain separate licenses from these --- patent holders to use this software. --- - Use of the software either in source or binary form, must be run --- on or directly connected to an Analog Devices Inc. component. --- --- THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, --- INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A --- PARTICULAR PURPOSE ARE DISCLAIMED. +-- Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. +-- +-- Each core or library found in this collection may have its own licensing terms. +-- The user should keep this in in mind while exploring these cores. +-- +-- Redistribution and use in source and binary forms, +-- with or without modification of this file, are permitted under the terms of either +-- (at the option of the user): +-- +-- 1. The GNU General Public License version 2 as published by the +-- Free Software Foundation, which can be found in the top level directory, or at: +-- https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +-- +-- OR +-- +-- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +-- https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE -- --- IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, --- EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY --- RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR --- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, --- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF --- THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- *************************************************************************** -- *************************************************************************** diff --git a/library/axi_i2s_adi/i2s_tx.vhd b/library/axi_i2s_adi/i2s_tx.vhd index 211a459aa..91b012fd9 100644 --- a/library/axi_i2s_adi/i2s_tx.vhd +++ b/library/axi_i2s_adi/i2s_tx.vhd @@ -1,61 +1,23 @@ -// *************************************************************************** -// *************************************************************************** -// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. -// -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. -// -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): -// -// 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html -// -// OR -// -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE -// -// *************************************************************************** -// *************************************************************************** - -- *************************************************************************** -- *************************************************************************** --- Copyright 2013(c) Analog Devices, Inc. --- Author: Lars-Peter Clausen --- --- All rights reserved. --- --- Redistribution and use in source and binary forms, with or without modification, --- are permitted provided that the following conditions are met: --- - Redistributions of source code must retain the above copyright --- notice, this list of conditions and the following disclaimer. --- - Redistributions in binary form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in --- the documentation and/or other materials provided with the --- distribution. --- - Neither the name of Analog Devices, Inc. nor the names of its --- contributors may be used to endorse or promote products derived --- from this software without specific prior written permission. --- - The use of this software may or may not infringe the patent rights --- of one or more patent holders. This license does not release you --- from the requirement that you obtain separate licenses from these --- patent holders to use this software. --- - Use of the software either in source or binary form, must be run --- on or directly connected to an Analog Devices Inc. component. --- --- THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, --- INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A --- PARTICULAR PURPOSE ARE DISCLAIMED. +-- Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. +-- +-- Each core or library found in this collection may have its own licensing terms. +-- The user should keep this in in mind while exploring these cores. +-- +-- Redistribution and use in source and binary forms, +-- with or without modification of this file, are permitted under the terms of either +-- (at the option of the user): +-- +-- 1. The GNU General Public License version 2 as published by the +-- Free Software Foundation, which can be found in the top level directory, or at: +-- https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html +-- +-- OR +-- +-- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +-- https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE -- --- IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, --- EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY --- RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR --- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, --- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF --- THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- *************************************************************************** -- *************************************************************************** diff --git a/library/axi_spdif_rx/axi_spdif_rx.vhd b/library/axi_spdif_rx/axi_spdif_rx.vhd index b399ed122..1e9e26711 100644 --- a/library/axi_spdif_rx/axi_spdif_rx.vhd +++ b/library/axi_spdif_rx/axi_spdif_rx.vhd @@ -1,65 +1,25 @@ -// *************************************************************************** -// *************************************************************************** -// Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. -// -// Each core or library found in this collection may have its own licensing terms. -// The user should keep this in in mind while exploring these cores. -// -// Redistribution and use in source and binary forms, -// with or without modification of this file, are permitted under the terms of either -// (at the option of the user): -// -// 1. The GNU General Public License version 2 as published by the -// Free Software Foundation, which can be found in the top level directory, or at: -// https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html -// -// OR -// -// 2. An ADI specific BSD license as noted in the top level directory, or on-line at: -// https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE -// -// *************************************************************************** -// *************************************************************************** - ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- --- Copyright 2011-2013(c) Analog Devices, Inc. +-- *************************************************************************** +-- *************************************************************************** +-- Copyright 2014 - 2017 (c) Analog Devices, Inc. All rights reserved. -- --- All rights reserved. +-- Each core or library found in this collection may have its own licensing terms. +-- The user should keep this in in mind while exploring these cores. -- --- Redistribution and use in source and binary forms, with or without modification, --- are permitted provided that the following conditions are met: --- - Redistributions of source code must retain the above copyright --- notice, this list of conditions and the following disclaimer. --- - Redistributions in binary form must reproduce the above copyright --- notice, this list of conditions and the following disclaimer in --- the documentation and/or other materials provided with the --- distribution. --- - Neither the name of Analog Devices, Inc. nor the names of its --- contributors may be used to endorse or promote products derived --- from this software without specific prior written permission. --- - The use of this software may or may not infringe the patent rights --- of one or more patent holders. This license does not release you --- from the requirement that you obtain separate licenses from these --- patent holders to use this software. --- - Use of the software either in source or binary form, must be run --- on or directly connected to an Analog Devices Inc. component. +-- Redistribution and use in source and binary forms, +-- with or without modification of this file, are permitted under the terms of either +-- (at the option of the user): -- --- THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, --- INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A --- PARTICULAR PURPOSE ARE DISCLAIMED. +-- 1. The GNU General Public License version 2 as published by the +-- Free Software Foundation, which can be found in the top level directory, or at: +-- https://www.gnu.org/licenses/old-licenses/gpl-2.0.en.html -- --- IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, --- EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY --- RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR --- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, --- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF --- THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- --- istvan.csomortani@analog.com (c) Analog Devices Inc. ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- +-- OR +-- +-- 2. An ADI specific BSD license as noted in the top level directory, or on-line at: +-- https://github.com/analogdevicesinc/hdl/blob/dev/LICENSE +-- +-- *************************************************************************** +-- *************************************************************************** library ieee; use ieee.std_logic_1164.all;