hdmi_rx: imageon updates

main
Rejeesh Kutty 2015-03-24 12:30:01 -04:00
parent 5d50d38c66
commit f92011f72d
1 changed files with 4 additions and 3 deletions

View File

@ -1,4 +1,5 @@
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports -regexp .*_clk$]]
set_clock_groups -asynchronous -group [get_clocks -of_objects [get_ports s_axi_aclk]]
set_false_path -from [get_cells *d_xfer_* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] \
-to [get_cells *up_xfer_* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}]
set_false_path -from [get_cells *up_xfer_* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] \
-to [get_cells *d_xfer_* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}]