hdlmake.pl updates

main
Rejeesh Kutty 2016-02-26 13:46:11 -05:00
parent e012d0519b
commit f7e490c2b3
11 changed files with 41 additions and 54 deletions

View File

@ -34,6 +34,7 @@ all:
-make -C imageon all
-make -C motcon2_fmc all
-make -C pzsdr all
-make -C pzsdr1 all
-make -C usb_fx3 all
-make -C usdrx1 all
@ -66,6 +67,7 @@ clean:
make -C imageon clean
make -C motcon2_fmc clean
make -C pzsdr clean
make -C pzsdr1 clean
make -C usb_fx3 clean
make -C usdrx1 clean
@ -98,6 +100,7 @@ clean-all:
make -C imageon clean-all
make -C motcon2_fmc clean-all
make -C pzsdr clean-all
make -C pzsdr1 clean-all
make -C usb_fx3 clean-all
make -C usdrx1 clean-all

View File

@ -7,18 +7,18 @@
.PHONY: all clean clean-all
all:
-make -C zed all
-make -C microzed all
-make -C zed all
clean:
make -C zed clean
make -C microzed clean
make -C zed clean
clean-all:
make -C zed clean-all
make -C microzed clean-all
make -C zed clean-all
####################################################################################
####################################################################################

View File

@ -9,18 +9,16 @@ M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
M_DEPS += ../common/cn0363_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/microzed/microzed_system_ps7.tcl
M_DEPS += ../../common/microzed/microzed_system_constr.xdc
M_DEPS += ../../common/microzed/microzed_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_generic_adc/axi_generic_adc.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/spi_engine/axi_spi_engine/axi_spi_engine.xpr
M_DEPS += ../../../library/cn0363/cn0363_dma_sequencer/cn0363_dma_sequencer.xpr
M_DEPS += ../../../library/cn0363/cn0363_phase_data_sync/cn0363_phase_data_sync.xpr
@ -29,7 +27,6 @@ M_DEPS += ../../../library/spi_engine/spi_engine_execution/spi_engine_execution.
M_DEPS += ../../../library/spi_engine/spi_engine_interconnect/spi_engine_interconnect.xpr
M_DEPS += ../../../library/spi_engine/spi_engine_offload/spi_engine_offload.xpr
M_DEPS += ../../../library/util_axis_resize/util_axis_resize.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr
M_DEPS += ../../../library/util_sigma_delta_spi/util_sigma_delta_spi.xpr
M_VIVADO := vivado -mode batch -source
@ -56,12 +53,8 @@ clean:
clean-all:clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_generic_adc clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/spi_engine/axi_spi_engine clean
make -C ../../../library/cn0363/cn0363_dma_sequencer clean
make -C ../../../library/cn0363/cn0363_phase_data_sync clean
@ -70,7 +63,6 @@ clean-all:clean
make -C ../../../library/spi_engine/spi_engine_interconnect clean
make -C ../../../library/spi_engine/spi_engine_offload clean
make -C ../../../library/util_axis_resize clean
make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/util_sigma_delta_spi clean
@ -80,12 +72,8 @@ cn0363_microzed.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_generic_adc
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_i2s_adi
make -C ../../../library/axi_spdif_tx
make -C ../../../library/spi_engine/axi_spi_engine
make -C ../../../library/cn0363/cn0363_dma_sequencer
make -C ../../../library/cn0363/cn0363_phase_data_sync
@ -94,7 +82,6 @@ lib:
make -C ../../../library/spi_engine/spi_engine_interconnect
make -C ../../../library/spi_engine/spi_engine_offload
make -C ../../../library/util_axis_resize
make -C ../../../library/util_i2c_mixer
make -C ../../../library/util_sigma_delta_spi
####################################################################################

View File

@ -9,6 +9,7 @@ M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
M_DEPS += ../common/cn0363_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl

View File

@ -18,13 +18,13 @@ M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9122/axi_ad9122.xpr
M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr
M_DEPS += ../../../library/axi_ad9684/axi_ad9684.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/util_bsplit/util_bsplit.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_VIVADO := vivado -mode batch -source
@ -51,13 +51,13 @@ clean:
clean-all:clean
make -C ../../../library/axi_ad9122 clean
make -C ../../../library/axi_ad9250 clean
make -C ../../../library/axi_ad9684 clean
make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_hdmi_tx clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/util_bsplit clean
make -C ../../../library/util_cpack clean
make -C ../../../library/util_upack clean
daq1_zc706.sdk/system_top.hdf: $(M_DEPS)
@ -67,13 +67,13 @@ daq1_zc706.sdk/system_top.hdf: $(M_DEPS)
lib:
make -C ../../../library/axi_ad9122
make -C ../../../library/axi_ad9250
make -C ../../../library/axi_ad9684
make -C ../../../library/axi_clkgen
make -C ../../../library/axi_dmac
make -C ../../../library/axi_hdmi_tx
make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_spdif_tx
make -C ../../../library/util_bsplit
make -C ../../../library/util_cpack
make -C ../../../library/util_upack
####################################################################################
####################################################################################

View File

@ -7,14 +7,20 @@
.PHONY: all clean clean-all
all:
-make -C a10gx all
-make -C kcu105 all
-make -C zc706 all
clean:
make -C a10gx clean
make -C kcu105 clean
make -C zc706 clean
clean-all:
make -C a10gx clean-all
make -C kcu105 clean-all
make -C zc706 clean-all
####################################################################################

View File

@ -14,12 +14,11 @@ M_DEPS += ../common/daq3_bd.qsys
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../common/a10gx/a10gx_system_bd.qsys
M_DEPS += ../../common/a10gx/a10gx_system_assign.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.v
M_DEPS += ../../../library/axi_ad9144/axi_ad9144_channel.v
M_DEPS += ../../../library/axi_ad9144/axi_ad9144_core.v
M_DEPS += ../../../library/axi_ad9144/axi_ad9144_hw.tcl
M_DEPS += ../../../library/axi_ad9144/axi_ad9144_if.v
M_DEPS += ../../../library/axi_ad9152/axi_ad9152.v
M_DEPS += ../../../library/axi_ad9152/axi_ad9152_channel.v
M_DEPS += ../../../library/axi_ad9152/axi_ad9152_core.v
M_DEPS += ../../../library/axi_ad9152/axi_ad9152_hw.tcl
M_DEPS += ../../../library/axi_ad9152/axi_ad9152_if.v
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.v
M_DEPS += ../../../library/axi_ad9680/axi_ad9680_channel.v
M_DEPS += ../../../library/axi_ad9680/axi_ad9680_hw.tcl

View File

@ -9,8 +9,8 @@ M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
M_DEPS += ../common/daq2_spi.v
M_DEPS += ../common/daq2_bd.tcl
M_DEPS += ../common/daq3_spi.v
M_DEPS += ../common/daq3_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
@ -19,7 +19,7 @@ M_DEPS += ../../common/kcu105/kcu105_system_mig.tcl
M_DEPS += ../../common/kcu105/kcu105_system_constr.xdc
M_DEPS += ../../common/kcu105/kcu105_system_bd.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
M_DEPS += ../../../library/axi_ad9152/axi_ad9152.xpr
M_DEPS += ../../../library/axi_ad9680/axi_ad9680.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
@ -45,7 +45,7 @@ M_FLIST += .Xil
.PHONY: all lib clean clean-all
all: lib daq2_kcu105.sdk/system_top.hdf
all: lib daq3_kcu105.sdk/system_top.hdf
clean:
@ -53,7 +53,7 @@ clean:
clean-all:clean
make -C ../../../library/axi_ad9144 clean
make -C ../../../library/axi_ad9152 clean
make -C ../../../library/axi_ad9680 clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_jesd_gt clean
@ -64,13 +64,13 @@ clean-all:clean
make -C ../../../library/util_upack clean
daq2_kcu105.sdk/system_top.hdf: $(M_DEPS)
daq3_kcu105.sdk/system_top.hdf: $(M_DEPS)
rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> daq2_kcu105_vivado.log 2>&1
$(M_VIVADO) system_project.tcl >> daq3_kcu105_vivado.log 2>&1
lib:
make -C ../../../library/axi_ad9144
make -C ../../../library/axi_ad9152
make -C ../../../library/axi_ad9680
make -C ../../../library/axi_dmac
make -C ../../../library/axi_jesd_gt

View File

@ -10,18 +10,21 @@ all:
-make -C ccbrk all
-make -C ccfmc all
-make -C ccpci all
-make -C ccbrk all
clean:
make -C ccbrk clean
make -C ccfmc clean
make -C ccpci clean
make -C ccbrk clean
clean-all:
make -C ccbrk clean-all
make -C ccfmc clean-all
make -C ccpci clean-all
make -C ccbrk clean-all
####################################################################################
####################################################################################

View File

@ -8,20 +8,14 @@
.PHONY: all clean clean-all
all:
-make -C ccbrk all
-make -C ccfmc all
-make -C ccpci all
clean:
make -C ccbrk clean
make -C ccfmc clean
make -C ccpci clean
clean-all:
make -C ccbrk clean-all
make -C ccfmc clean-all
make -C ccpci clean-all
####################################################################################
####################################################################################

View File

@ -15,16 +15,14 @@ M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../fmcomms2/common/fmcomms2_bd.tcl
M_DEPS += ../../common/xilinx/sys_wfifo.tcl
M_DEPS += ../../common/pzsdr1/pzsdr1_system_ps7.tcl
M_DEPS += ../../common/pzsdr1/pzsdr1_system_constr.xdc
M_DEPS += ../../common/pzsdr1/pzsdr1_system_bd.tcl
M_DEPS += ../../common/pzsdr/pzsdr_system_ps7.tcl
M_DEPS += ../../../library/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_gpreg/axi_gpreg.xpr
M_DEPS += ../../../library/axi_jesd_gt/axi_jesd_gt.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr
M_DEPS += ../../../library/util_gtlb/util_gtlb.xpr
M_DEPS += ../../../library/util_tdd_sync/util_tdd_sync.xpr
M_DEPS += ../../../library/util_upack/util_upack.xpr
M_DEPS += ../../../library/util_wfifo/util_wfifo.xpr
@ -56,9 +54,7 @@ clean-all:clean
make -C ../../../library/axi_ad9361 clean
make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_gpreg clean
make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_cpack clean
make -C ../../../library/util_gtlb clean
make -C ../../../library/util_tdd_sync clean
make -C ../../../library/util_upack clean
make -C ../../../library/util_wfifo clean
@ -73,9 +69,7 @@ lib:
make -C ../../../library/axi_ad9361
make -C ../../../library/axi_dmac
make -C ../../../library/axi_gpreg
make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_cpack
make -C ../../../library/util_gtlb
make -C ../../../library/util_tdd_sync
make -C ../../../library/util_upack
make -C ../../../library/util_wfifo