signal tap removed

main
Rejeesh Kutty 2015-07-08 15:46:53 -04:00
parent 19bf05c740
commit f64df40a0a
2 changed files with 1 additions and 4 deletions

View File

@ -2021,12 +2021,10 @@
<module name="util_cpack_0" kind="util_cpack" version="1.0" enabled="1">
<parameter name="CH_CNT" value="2" />
<parameter name="CH_DW" value="32" />
<parameter name="ST_DEPTH" value="1024" />
</module>
<module name="util_cpack_1" kind="util_cpack" version="1.0" enabled="1">
<parameter name="CH_CNT" value="2" />
<parameter name="CH_DW" value="32" />
<parameter name="ST_DEPTH" value="1024" />
</module>
<module
name="util_jesd_align_0"

View File

@ -8,7 +8,6 @@ source $ad_hdl_dir/projects/common/a5gt/a5gt_system_assign.tcl
set_global_assignment -name VERILOG_FILE $ad_hdl_dir/library/common/ad_iobuf.v
set_global_assignment -name VERILOG_FILE ../common/fmcjesdadc1_spi.v
set_global_assignment -name QSYS_FILE system_stap.qsys
# reference clock
@ -59,7 +58,7 @@ set_instance_assignment -name IO_STANDARD "2.5 V" -to spi_sdio
set_instance_assignment -name QII_AUTO_PACKED_REGISTERS OFF -to * -entity up_xfer_cntrl
set_instance_assignment -name QII_AUTO_PACKED_REGISTERS OFF -to * -entity up_xfer_status
set_instance_assignment -name QII_AUTO_PACKED_REGISTERS OFF -to * -entity top_level
set_instance_assignment -name QII_AUTO_PACKED_REGISTERS OFF -to * -entity up_xcvr
execute_flow -compile