diff --git a/projects/fmcomms2/kc705/system_top.v b/projects/fmcomms2/kc705/system_top.v index 566ef222b..ecfd37d54 100644 --- a/projects/fmcomms2/kc705/system_top.v +++ b/projects/fmcomms2/kc705/system_top.v @@ -211,7 +211,6 @@ module system_top ( wire [63:0] gpio_i; wire [63:0] gpio_o; wire [63:0] gpio_t; - wire [31:0] mb_intrs; // default logic @@ -266,12 +265,12 @@ module system_top ( .gpio1_o (gpio_o[63:32]), .gpio1_t (gpio_t[63:32]), .gpio1_i (gpio_i[63:32]), - .mb_intr_02 (mb_intrs[2]), - .mb_intr_03 (mb_intrs[3]), - .mb_intr_07 (mb_intrs[7]), - .mb_intr_08 (mb_intrs[8]), - .mb_intr_14 (mb_intrs[14]), - .mb_intr_15 (mb_intrs[15]), + .mb_intr_02 (1'b0), + .mb_intr_03 (1'b0), + .mb_intr_07 (1'b0), + .mb_intr_08 (1'b0), + .mb_intr_14 (1'b0), + .mb_intr_15 (1'b0), .mdio_mdc (mdio_mdc), .mdio_mdio_io (mdio_mdio_io), .mii_col (mii_col), diff --git a/projects/fmcomms2/zc702/system_top.v b/projects/fmcomms2/zc702/system_top.v index bfc0ac017..9926a830a 100644 --- a/projects/fmcomms2/zc702/system_top.v +++ b/projects/fmcomms2/zc702/system_top.v @@ -175,7 +175,6 @@ module system_top ( wire spi_udc_csn_rx; wire spi_udc_sclk; wire spi_udc_data; - wire [15:0] ps_intrs; // instantiations @@ -232,22 +231,18 @@ module system_top ( .hdmi_vsync (hdmi_vsync), .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), - .ps_intr_00 (ps_intrs[0]), - .ps_intr_01 (ps_intrs[1]), - .ps_intr_10 (ps_intrs[10]), - .ps_intr_11 (ps_intrs[11]), - .ps_intr_12 (ps_intrs[12]), - .ps_intr_13 (ps_intrs[13]), - .ps_intr_02 (ps_intrs[2]), - .ps_intr_03 (ps_intrs[3]), - .ps_intr_04 (ps_intrs[4]), - .ps_intr_05 (ps_intrs[5]), - .ps_intr_06 (ps_intrs[6]), - .ps_intr_07 (ps_intrs[7]), - .ps_intr_08 (ps_intrs[8]), - .ps_intr_09 (ps_intrs[9]), - .ad9361_dac_dma_irq (ps_intrs[12]), - .ad9361_adc_dma_irq (ps_intrs[13]), + .ps_intr_00 (1'b0), + .ps_intr_01 (1'b0), + .ps_intr_10 (1'b0), + .ps_intr_11 (1'b0), + .ps_intr_02 (1'b0), + .ps_intr_03 (1'b0), + .ps_intr_04 (1'b0), + .ps_intr_05 (1'b0), + .ps_intr_06 (1'b0), + .ps_intr_07 (1'b0), + .ps_intr_08 (1'b0), + .ps_intr_09 (1'b0), .rx_clk_in_n (rx_clk_in_n), .rx_clk_in_p (rx_clk_in_p), .rx_data_in_n (rx_data_in_n), diff --git a/projects/fmcomms2/zc706/system_top.v b/projects/fmcomms2/zc706/system_top.v index 6d9e84e90..e14eba325 100644 --- a/projects/fmcomms2/zc706/system_top.v +++ b/projects/fmcomms2/zc706/system_top.v @@ -203,8 +203,6 @@ module system_top ( .dio (gpio_bd)); system_wrapper i_system_wrapper ( - .ad9361_adc_dma_irq (ps_intrs[13]), - .ad9361_dac_dma_irq (ps_intrs[12]), .ddr_addr (ddr_addr), .ddr_ba (ddr_ba), .ddr_cas_n (ddr_cas_n), @@ -248,8 +246,6 @@ module system_top ( .ps_intr_09 (ps_intrs[9]), .ps_intr_10 (ps_intrs[10]), .ps_intr_11 (ps_intrs[11]), - .ps_intr_12 (ps_intrs[12]), - .ps_intr_13 (ps_intrs[13]), .rx_clk_in_n (rx_clk_in_n), .rx_clk_in_p (rx_clk_in_p), .rx_data_in_n (rx_data_in_n), diff --git a/projects/fmcomms2/zed/system_top.v b/projects/fmcomms2/zed/system_top.v index 678d7126f..b0cda054b 100644 --- a/projects/fmcomms2/zed/system_top.v +++ b/projects/fmcomms2/zed/system_top.v @@ -206,7 +206,6 @@ module system_top ( wire [ 1:0] iic_mux_sda_i_s; wire [ 1:0] iic_mux_sda_o_s; wire iic_mux_sda_t_s; - wire [15:0] ps_intrs; // instantiations @@ -278,17 +277,17 @@ module system_top ( .iic_mux_sda_i (iic_mux_sda_i_s), .iic_mux_sda_o (iic_mux_sda_o_s), .iic_mux_sda_t (iic_mux_sda_t_s), - .ps_intr_00 (ps_intrs[0]), - .ps_intr_01 (ps_intrs[1]), - .ps_intr_02 (ps_intrs[2]), - .ps_intr_03 (ps_intrs[3]), - .ps_intr_04 (ps_intrs[4]), - .ps_intr_05 (ps_intrs[5]), - .ps_intr_06 (ps_intrs[6]), - .ps_intr_07 (ps_intrs[7]), - .ps_intr_08 (ps_intrs[8]), - .ps_intr_09 (ps_intrs[9]), - .ps_intr_10 (ps_intrs[10]), + .ps_intr_00 (1'b0), + .ps_intr_01 (1'b0), + .ps_intr_02 (1'b0), + .ps_intr_03 (1'b0), + .ps_intr_04 (1'b0), + .ps_intr_05 (1'b0), + .ps_intr_06 (1'b0), + .ps_intr_07 (1'b0), + .ps_intr_08 (1'b0), + .ps_intr_09 (1'b0), + .ps_intr_10 (1'b0), .otg_vbusoc (otg_vbusoc), .rx_clk_in_n (rx_clk_in_n), .rx_clk_in_p (rx_clk_in_p),