From f0b8b8f6c05559afd532010774326927fc325763 Mon Sep 17 00:00:00 2001 From: Adrian Costina Date: Mon, 31 Mar 2014 17:49:10 +0300 Subject: [PATCH] FMCOMMS1: KC705 updated system_top and constraints Needed to be compatible with the latest common file --- projects/fmcomms1/kc705/system_constr.xdc | 13 +++++++++---- projects/fmcomms1/kc705/system_top.v | 3 +-- 2 files changed, 10 insertions(+), 6 deletions(-) diff --git a/projects/fmcomms1/kc705/system_constr.xdc b/projects/fmcomms1/kc705/system_constr.xdc index a2bbb8a38..37a515380 100644 --- a/projects/fmcomms1/kc705/system_constr.xdc +++ b/projects/fmcomms1/kc705/system_constr.xdc @@ -82,11 +82,16 @@ set_property -dict {PACKAGE_PIN AF23 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ # clocks -create_clock -name dac_clk_in -period 2.00 [get_ports dac_clk_in_p] -create_clock -name adc_clk_in -period 4.00 [get_ports adc_clk_in_p] -create_clock -name dac_div_clk -period 8.00 [get_pins i_system_wrapper/system_i/axi_ad9122/dac_div_clk] -create_clock -name adc_clk -period 4.00 [get_pins i_system_wrapper/system_i/axi_ad9643/adc_clk] +create_clock -name dac_clk_in -period 2.00 [get_ports dac_clk_in_p] +create_clock -name adc_clk_in -period 4.00 [get_ports adc_clk_in_p] +create_clock -name dac_div_clk -period 8.00 [get_pins i_system_wrapper/system_i/axi_ad9122/dac_div_clk] +create_clock -name adc_clk -period 4.00 [get_pins i_system_wrapper/system_i/axi_ad9643/adc_clk] +create_clock -name ref_clk -period 33.33 [get_pins i_system_wrapper/system_i/sys_audio_clkgen/clk_out2] +create_clock -name ila_clk -period 8.00 [get_pins i_system_wrapper/system_i/ila_clkgen/clk_out1] set_clock_groups -asynchronous -group {dac_div_clk} set_clock_groups -asynchronous -group {adc_clk} +set_clock_groups -asynchronous -group {ref_clk} +set_clock_groups -asynchronous -group {ila_clk} + diff --git a/projects/fmcomms1/kc705/system_top.v b/projects/fmcomms1/kc705/system_top.v index 3bf359c22..d07108b7e 100644 --- a/projects/fmcomms1/kc705/system_top.v +++ b/projects/fmcomms1/kc705/system_top.v @@ -279,8 +279,7 @@ module system_top ( .uart_sout (uart_sout), .unc_int0 (1'b0), .unc_int1 (1'b0), - .unc_int2 (1'b0), - .unc_int3 (1'b0)); + .unc_int4 (1'b0)); endmodule