library: remove axi-min-size parameter

main
Rejeesh Kutty 2015-05-19 13:07:48 -04:00
parent 4fb1be0672
commit e918588a4b
20 changed files with 3 additions and 29 deletions

View File

@ -84,7 +84,6 @@ module axi_ad6676 (
parameter PCORE_ID = 0;
parameter PCORE_DEVICE_TYPE = 0;
parameter PCORE_IODELAY_GROUP = "adc_if_delay_group";
parameter C_S_AXI_MIN_SIZE = 32'hffff;
// jesd interface
// rx_clk is (line-rate/40)

View File

@ -93,7 +93,6 @@ module axi_ad7175 (
parameter PCORE_DEVICE_TYPE = 0;
parameter PCORE_ADC_DP_DISABLE = 0;
parameter PCORE_IODELAY_GROUP = "adc_if_delay_group";
parameter C_S_AXI_MIN_SIZE = 32'hffff;
// adc interface (clk, data, over-range)

View File

@ -99,7 +99,6 @@ module axi_ad9122 (
parameter PCORE_MMCM_BUFIO_N = 1;
parameter PCORE_DAC_DP_DISABLE = 0;
parameter PCORE_IODELAY_GROUP = "dev_if_delay_group";
parameter C_S_AXI_MIN_SIZE = 32'hffff;
// dac interface

View File

@ -85,7 +85,6 @@ module axi_ad9152 (
parameter PCORE_ID = 0;
parameter PCORE_DAC_DP_DISABLE = 0;
parameter C_S_AXI_MIN_SIZE = 32'hffff;
// jesd interface
// tx_clk is (line-rate/40)

View File

@ -84,7 +84,6 @@ module axi_ad9234 (
parameter PCORE_ID = 0;
parameter PCORE_DEVICE_TYPE = 0;
parameter PCORE_IODELAY_GROUP = "adc_if_delay_group";
parameter C_S_AXI_MIN_SIZE = 32'hffff;
// jesd interface
// rx_clk is (line-rate/40)

View File

@ -84,7 +84,6 @@ module axi_ad9250 (
parameter PCORE_ID = 0;
parameter PCORE_DEVICE_TYPE = 0;
parameter PCORE_IODELAY_GROUP = "adc_if_delay_group";
parameter C_S_AXI_MIN_SIZE = 32'hffff;
// jesd interface
// rx_clk is (line-rate/40)

View File

@ -172,8 +172,7 @@ module axi_ad9250_alt (
axi_ad9250 #(
.PCORE_ID (PCORE_ID),
.PCORE_DEVICE_TYPE (PCORE_DEVICE_TYPE),
.PCORE_IODELAY_GROUP ("adc_if_delay_group"),
.C_S_AXI_MIN_SIZE (32'hffff))
.PCORE_IODELAY_GROUP ("adc_if_delay_group"))
i_ad9250 (
.rx_clk (rx_clk),
.rx_data (rx_data),

View File

@ -92,7 +92,6 @@ module axi_ad9265 (
parameter PCORE_DEVICE_TYPE = 0;
parameter PCORE_ADC_DP_DISABLE = 0;
parameter PCORE_IODELAY_GROUP = "adc_if_delay_group";
parameter C_S_AXI_MIN_SIZE = 32'hffff;
// adc interface (clk, data, over-range)

View File

@ -89,7 +89,6 @@ module axi_ad9434 (
parameter PCORE_ID = 0;
parameter PCORE_DEVTYPE = SERIES7;
parameter PCORE_IODELAY_GROUP = "dev_if_delay_group";
parameter C_S_AXI_MIN_SIZE = 32'hffff;
// physical interface
input adc_clk_in_p;

View File

@ -90,7 +90,6 @@ module axi_ad9467(
parameter PCORE_ID = 0;
parameter PCORE_BUFTYPE = 0;
parameter PCORE_IODELAY_GROUP = "dev_if_delay_group";
parameter C_S_AXI_MIN_SIZE = 32'hffff;
// physical interface

View File

@ -91,7 +91,6 @@ module axi_ad9739a (
parameter PCORE_MMCM_BUFIO_N = 1;
parameter PCORE_DAC_DP_DISABLE = 0;
parameter PCORE_IODELAY_GROUP = "dev_if_delay_group";
parameter C_S_AXI_MIN_SIZE = 32'hffff;
// dac interface

View File

@ -78,7 +78,6 @@ module axi_clkgen (
parameter PCORE_VCO_MUL = 49;
parameter PCORE_CLK0_DIV = 6;
parameter PCORE_CLK1_DIV = 6;
parameter C_S_AXI_MIN_SIZE = 32'hffff;
// clocks

View File

@ -105,7 +105,6 @@ module axi_hdmi_tx (
parameter PCORE_Cr_Cb_N = 0;
parameter PCORE_DEVICE_TYPE = 0;
parameter PCORE_EMBEDDED_SYNC = 0;
parameter C_S_AXI_MIN_SIZE = 32'hffff;
localparam XILINX_7SERIES = 0;
localparam XILINX_ULTRASCALE = 1;

View File

@ -217,8 +217,7 @@ module axi_hdmi_tx_alt (
.PCORE_ID (PCORE_ID),
.PCORE_Cr_Cb_N (PCORE_Cr_Cb_N),
.PCORE_DEVICE_TYPE (PCORE_DEVICE_TYPE),
.PCORE_EMBEDDED_SYNC (PCORE_EMBEDDED_SYNC),
.C_S_AXI_MIN_SIZE (32'hffff))
.PCORE_EMBEDDED_SYNC (PCORE_EMBEDDED_SYNC))
i_hdmi_tx (
.hdmi_clk (hdmi_clk),
.hdmi_out_clk (hdmi_out_clk),

View File

@ -28,7 +28,6 @@ entity axi_i2s_adi is
-- Bus protocol parameters, do not add to or delete
C_S_AXI_DATA_WIDTH : integer := 32;
C_S_AXI_ADDR_WIDTH : integer := 32;
C_S_AXI_MIN_SIZE : std_logic_vector := X"000001FF";
C_FAMILY : string := "virtex6";
-- DO NOT EDIT ABOVE THIS LINE ---------------------
C_DMA_TYPE : integer := 0;

View File

@ -173,7 +173,6 @@ module axi_jesd_gt (
parameter PCORE_TX_LANE_SEL_6 = 6;
parameter PCORE_TX_LANE_SEL_7 = 7;
parameter PCORE_TX_LANE_SEL_8 = 8;
parameter C_S_AXI_MIN_SIZE = 32'hffff;
localparam PCORE_NUM_OF_LANES = (PCORE_NUM_OF_TX_LANES > PCORE_NUM_OF_RX_LANES) ?
PCORE_NUM_OF_TX_LANES : PCORE_NUM_OF_RX_LANES;

View File

@ -38,9 +38,6 @@
`timescale 1ns/100ps
module axi_mc_controller
#(
parameter C_S_AXI_MIN_SIZE = 32'hffff
)
(
input ref_clk, // 100 MHz
input ctrl_data_clk,

View File

@ -37,10 +37,7 @@
`timescale 1ns/100ps
module axi_mc_current_monitor #(
parameter C_S_AXI_MIN_SIZE = 32'hffff
)
(
module axi_mc_current_monitor (
// physical interface

View File

@ -38,9 +38,6 @@
`timescale 1ns/100ps
module axi_mc_speed
#(
parameter C_S_AXI_MIN_SIZE = 32'hffff
)
//----------- Ports Declarations -----------------------------------------------
(
// physical interface

View File

@ -67,7 +67,6 @@ module util_pmod_fmeter (
// parameters
parameter PCORE_ID = 0;
parameter C_S_AXI_MIN_SIZE = 32'hffff;
// physical interface