scripts- initialize prcfg list

main
Rejeesh Kutty 2015-05-04 12:34:19 -04:00
parent 2a8703763e
commit e489090fbb
1 changed files with 23 additions and 29 deletions

View File

@ -5,7 +5,6 @@ variable sys_zynq
variable p_prcfg_init variable p_prcfg_init
variable p_prcfg_list variable p_prcfg_list
variable p_prcfg_status variable p_prcfg_status
variable p_prlicense_exist
if {![info exists REQUIRED_VIVADO_VERSION]} { if {![info exists REQUIRED_VIVADO_VERSION]} {
set REQUIRED_VIVADO_VERSION "2014.4.1" set REQUIRED_VIVADO_VERSION "2014.4.1"
@ -201,13 +200,12 @@ proc adi_project_impl {project_name prcfg_name {xdc_files ""}} {
global p_prcfg_init global p_prcfg_init
global p_prcfg_list global p_prcfg_list
global p_prcfg_status global p_prcfg_status
global p_prlicense_exist
set p_prefix "$project_name.data/$project_name" set p_prefix "$project_name.data/$project_name"
if {$prcfg_name eq "default"} { if {$prcfg_name eq "default"} {
set p_prcfg_status 0 set p_prcfg_status 0
set p_prlicense_exist 0 set p_prcfg_list ""
set p_prcfg_init "$p_prefix.${prcfg_name}_impl.dcp" set p_prcfg_init "$p_prefix.${prcfg_name}_impl.dcp"
file mkdir $project_name.sdk file mkdir $project_name.sdk
} }
@ -217,14 +215,13 @@ proc adi_project_impl {project_name prcfg_name {xdc_files ""}} {
open_checkpoint $p_prefix.synth.dcp -part $p_device open_checkpoint $p_prefix.synth.dcp -part $p_device
read_xdc $xdc_files read_xdc $xdc_files
read_checkpoint -cell i_prcfg $p_prefix.${prcfg_name}_synth.dcp read_checkpoint -cell i_prcfg $p_prefix.${prcfg_name}_synth.dcp
set_property -quiet HD.RECONFIGURABLE 1 [get_cells i_prcfg] set_property HD.RECONFIGURABLE 1 [get_cells i_prcfg]
set p_prlicense_exist [get_property HD.RECONFIGURABLE [get_cells i_prcfg]]
opt_design > $p_prefix.${prcfg_name}_opt.rds opt_design > $p_prefix.${prcfg_name}_opt.rds
write_debug_probes -force $p_prefix.${prcfg_name}_debug_nets.ltx write_debug_probes -force $p_prefix.${prcfg_name}_debug_nets.ltx
place_design > $p_prefix.${prcfg_name}_place.rds place_design > $p_prefix.${prcfg_name}_place.rds
route_design > $p_prefix.${prcfg_name}_route.rds route_design > $p_prefix.${prcfg_name}_route.rds
} elseif {$p_prlicense_exist == 1} { } else {
open_checkpoint $p_prefix.default_impl_bb.dcp -part $p_device open_checkpoint $p_prefix.default_impl_bb.dcp -part $p_device
lock_design -level routing lock_design -level routing
@ -234,8 +231,6 @@ proc adi_project_impl {project_name prcfg_name {xdc_files ""}} {
route_design > $p_prefix.${prcfg_name}_route.rds route_design > $p_prefix.${prcfg_name}_route.rds
} }
if {$prcfg_name eq "default"
|| $p_prlicense_exist == 1} {
write_checkpoint -force $p_prefix.${prcfg_name}_impl.dcp write_checkpoint -force $p_prefix.${prcfg_name}_impl.dcp
report_utilization -pblocks pb_prcfg -file $p_prefix.${prcfg_name}_utilization.rpt report_utilization -pblocks pb_prcfg -file $p_prefix.${prcfg_name}_utilization.rpt
report_timing_summary -file $p_prefix.${prcfg_name}_timing_summary.rpt report_timing_summary -file $p_prefix.${prcfg_name}_timing_summary.rpt
@ -260,7 +255,6 @@ proc adi_project_impl {project_name prcfg_name {xdc_files ""}} {
if {$prcfg_name eq "default"} { if {$prcfg_name eq "default"} {
file copy -force $p_prefix.${prcfg_name}.hdf $project_name.sdk/system_top.hdf file copy -force $p_prefix.${prcfg_name}.hdf $project_name.sdk/system_top.hdf
} }
}
} }
proc adi_project_verify {project_name} { proc adi_project_verify {project_name} {
@ -271,7 +265,7 @@ proc adi_project_verify {project_name} {
set p_prefix "$project_name.data/$project_name" set p_prefix "$project_name.data/$project_name"
pr_verify -quiet -full_check -initial $p_prcfg_init \ pr_verify -full_check -initial $p_prcfg_init \
-additional $p_prcfg_list \ -additional $p_prcfg_list \
-file $p_prefix.prcfg_verify.log -file $p_prefix.prcfg_verify.log