axi_dacfifo: Fix constraints

main
Istvan Csomortani 2017-02-21 14:45:18 +02:00
parent 040b61de60
commit e3ac341aad
1 changed files with 5 additions and 3 deletions

View File

@ -1,8 +1,10 @@
set_property shreg_extract no [get_cells -hier -filter {name =~ *xfer_req_m*}]
set_property shreg_extract no [get_cells -hier -filter {name =~ *xfer_last_m*}]
set_property ASYNC_REG TRUE \
[get_cells -hier *_xfer_req_m[0]*] \
[get_cells -hier *_xfer_last_m[0]*]
set_false_path -to [get_cells axi_xfer_*_m* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}]
set_false_path -to [get_cells *_xfer_req_m[0]* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}]
set_false_path -to [get_cells *_xfer_last_m[0]* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}]
set_false_path -to [get_cells *dma_rst_m1* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}]
set_false_path -from [get_cells *dma_* -hierarchical -filter {PRIMITIVE_SUBGROUP == flop}] \