From df3690271320782e271a950aecd6cddad66481a1 Mon Sep 17 00:00:00 2001 From: Istvan Csomortani Date: Fri, 5 Aug 2016 18:00:34 +0300 Subject: [PATCH] lib_refactoring: Fix path of the IO macros --- library/axi_ad9122/axi_ad9122_ip.tcl | 8 ++++---- library/axi_ad9144/axi_ad9144_hw.tcl | 3 +-- library/axi_ad9144/axi_ad9144_ip.tcl | 2 +- library/axi_ad9152/axi_ad9152_hw.tcl | 3 +-- library/axi_ad9152/axi_ad9152_ip.tcl | 2 +- library/axi_ad9162/axi_ad9162_ip.tcl | 2 +- library/axi_ad9265/axi_ad9265_ip.tcl | 4 ++-- library/axi_ad9361/axi_ad9361_hw.tcl | 18 ++++++++---------- library/axi_ad9361/axi_ad9361_ip.tcl | 8 ++++---- library/axi_ad9371/axi_ad9371_hw.tcl | 4 +--- library/axi_ad9371/axi_ad9371_ip.tcl | 2 +- library/axi_ad9434/axi_ad9434_ip.tcl | 6 +++--- library/axi_ad9467/axi_ad9467_ip.tcl | 4 ++-- library/axi_ad9643/axi_ad9643_ip.tcl | 6 +++--- library/axi_ad9652/axi_ad9652_ip.tcl | 6 +++--- library/axi_ad9684/axi_ad9684_ip.tcl | 6 +++--- library/axi_ad9739a/axi_ad9739a_ip.tcl | 4 ++-- library/axi_clkgen/axi_clkgen_ip.tcl | 2 +- library/axi_hdmi_tx/axi_hdmi_tx_hw.tcl | 1 - projects/ad6676evb/vc707/system_project.tcl | 2 +- projects/ad6676evb/zc706/system_project.tcl | 2 +- projects/ad7616_sdz/zc706/system_project.tcl | 4 ++-- projects/ad7616_sdz/zed/system_project.tcl | 4 ++-- projects/ad7768evb/zed/system_project.tcl | 2 +- projects/ad9265_fmc/zc706/system_project.tcl | 2 +- projects/ad9434_fmc/zc706/system_project.tcl | 2 +- projects/ad9467_fmc/kc705/system_project.tcl | 2 +- projects/ad9467_fmc/zed/system_project.tcl | 2 +- projects/ad9739a_fmc/zc706/system_project.tcl | 2 +- projects/adrv9371x/zc706/system_project.tcl | 2 +- projects/adv7511/ac701/system_project.tcl | 2 +- projects/adv7511/kc705/system_project.tcl | 2 +- projects/adv7511/kcu105/system_project.tcl | 2 +- projects/adv7511/mitx045/system_project.tcl | 2 +- projects/adv7511/vc707/system_project.tcl | 2 +- projects/adv7511/zc702/system_project.tcl | 2 +- projects/adv7511/zc706/system_project.tcl | 2 +- projects/adv7511/zed/system_project.tcl | 2 +- projects/cftl_cip/zed/system_project.tcl | 2 +- projects/cftl_std/zed/system_project.tcl | 2 +- projects/cn0363/microzed/system_project.tcl | 2 +- projects/cn0363/zed/system_project.tcl | 2 +- projects/daq1/zc706/system_project.tcl | 2 +- projects/daq2/kc705/system_project.tcl | 2 +- projects/daq2/kcu105/system_project.tcl | 2 +- projects/daq2/vc707/system_project.tcl | 2 +- projects/daq2/zc706/system_project.tcl | 2 +- projects/daq3/kcu105/system_project.tcl | 2 +- projects/daq3/zc706/system_project.tcl | 2 +- projects/fmcadc2/vc707/system_project.tcl | 2 +- projects/fmcadc2/zc706/system_project.tcl | 2 +- projects/fmcadc4/zc706/system_project.tcl | 2 +- projects/fmcadc5/vc707/system_project.tcl | 4 ++-- projects/fmcjesdadc1/kc705/system_project.tcl | 2 +- projects/fmcjesdadc1/vc707/system_project.tcl | 2 +- projects/fmcjesdadc1/zc706/system_project.tcl | 2 +- projects/fmcomms1/ac701/system_project.tcl | 2 +- projects/fmcomms1/kc705/system_project.tcl | 2 +- projects/fmcomms1/vc707/system_project.tcl | 2 +- projects/fmcomms1/zc702/system_project.tcl | 2 +- projects/fmcomms1/zc706/system_project.tcl | 2 +- projects/fmcomms1/zed/system_project.tcl | 2 +- projects/fmcomms11/zc706/system_project.tcl | 2 +- projects/fmcomms2/ac701/system_project.tcl | 2 +- projects/fmcomms2/kc705/system_project.tcl | 2 +- projects/fmcomms2/mitx045/system_project.tcl | 2 +- projects/fmcomms2/vc707/system_project.tcl | 2 +- projects/fmcomms2/zc702/system_project.tcl | 2 +- projects/fmcomms2/zc706/system_project.tcl | 2 +- projects/fmcomms2/zc706pr/system_project.tcl | 2 +- projects/fmcomms2/zcu102/system_project.tcl | 2 +- projects/fmcomms2/zed/system_project.tcl | 2 +- projects/fmcomms5/zc702/system_project.tcl | 2 +- projects/fmcomms5/zc706/system_project.tcl | 2 +- projects/fmcomms6/zc706/system_project.tcl | 2 +- projects/fmcomms7/zc706/system_project.tcl | 2 +- projects/imageon/zc706/system_project.tcl | 2 +- projects/imageon/zed/system_project.tcl | 2 +- projects/motcon2_fmc/zed/system_project.tcl | 2 +- projects/pzsdr/ccbrk/system_project.tcl | 2 +- projects/pzsdr/ccbrk_cmos/system_project.tcl | 2 +- projects/pzsdr/ccfmc/system_project.tcl | 2 +- projects/pzsdr/ccpci/system_project.tcl | 2 +- projects/pzsdr1/ccbrk/system_project.tcl | 2 +- projects/pzsdr1/ccbrk_cmos/system_project.tcl | 2 +- projects/usb_fx3/zc706/system_project.tcl | 2 +- 86 files changed, 112 insertions(+), 119 deletions(-) diff --git a/library/axi_ad9122/axi_ad9122_ip.tcl b/library/axi_ad9122/axi_ad9122_ip.tcl index badf2c985..577439622 100644 --- a/library/axi_ad9122/axi_ad9122_ip.tcl +++ b/library/axi_ad9122/axi_ad9122_ip.tcl @@ -5,14 +5,14 @@ source $ad_hdl_dir/library/scripts/adi_ip.tcl adi_ip_create axi_ad9122 adi_ip_files axi_ad9122 [list \ - "$ad_hdl_dir/library/common/ad_mul.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_mul.v" \ "$ad_hdl_dir/library/common/ad_dds_sine.v" \ "$ad_hdl_dir/library/common/ad_dds_1.v" \ "$ad_hdl_dir/library/common/ad_dds.v" \ "$ad_hdl_dir/library/common/ad_rst.v" \ - "$ad_hdl_dir/library/common/ad_mmcm_drp.v" \ - "$ad_hdl_dir/library/common/ad_serdes_out.v" \ - "$ad_hdl_dir/library/common/ad_serdes_clk.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_mmcm_drp.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_serdes_out.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_serdes_clk.v" \ "$ad_hdl_dir/library/common/up_axi.v" \ "$ad_hdl_dir/library/common/up_xfer_cntrl.v" \ "$ad_hdl_dir/library/common/up_xfer_status.v" \ diff --git a/library/axi_ad9144/axi_ad9144_hw.tcl b/library/axi_ad9144/axi_ad9144_hw.tcl index eb28df04f..1bd871a6e 100755 --- a/library/axi_ad9144/axi_ad9144_hw.tcl +++ b/library/axi_ad9144/axi_ad9144_hw.tcl @@ -15,8 +15,7 @@ set_module_property ELABORATION_CALLBACK p_axi_ad9144 add_fileset quartus_synth QUARTUS_SYNTH "" "Quartus Synthesis" set_fileset_property quartus_synth TOP_LEVEL axi_ad9144 -add_fileset_file MULT_MACRO.v VERILOG PATH $ad_hdl_dir/library/common/altera/MULT_MACRO.v -add_fileset_file ad_mul.v VERILOG PATH $ad_hdl_dir/library/common/ad_mul.v +add_fileset_file ad_mul.v VERILOG PATH $ad_hdl_dir/library/altera/common/ad_mul.v add_fileset_file ad_dds_sine.v VERILOG PATH $ad_hdl_dir/library/common/ad_dds_sine.v add_fileset_file ad_dds_1.v VERILOG PATH $ad_hdl_dir/library/common/ad_dds_1.v add_fileset_file ad_dds.v VERILOG PATH $ad_hdl_dir/library/common/ad_dds.v diff --git a/library/axi_ad9144/axi_ad9144_ip.tcl b/library/axi_ad9144/axi_ad9144_ip.tcl index dac97de77..13f868e4d 100644 --- a/library/axi_ad9144/axi_ad9144_ip.tcl +++ b/library/axi_ad9144/axi_ad9144_ip.tcl @@ -6,7 +6,7 @@ source $ad_hdl_dir/library/scripts/adi_ip.tcl adi_ip_create axi_ad9144 adi_ip_files axi_ad9144 [list \ "$ad_hdl_dir/library/common/ad_axi_ip_constr.xdc" \ - "$ad_hdl_dir/library/common/ad_mul.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_mul.v" \ "$ad_hdl_dir/library/common/ad_dds_sine.v" \ "$ad_hdl_dir/library/common/ad_dds_1.v" \ "$ad_hdl_dir/library/common/ad_dds.v" \ diff --git a/library/axi_ad9152/axi_ad9152_hw.tcl b/library/axi_ad9152/axi_ad9152_hw.tcl index e2642f384..c9e0af707 100755 --- a/library/axi_ad9152/axi_ad9152_hw.tcl +++ b/library/axi_ad9152/axi_ad9152_hw.tcl @@ -14,8 +14,7 @@ set_module_property DISPLAY_NAME axi_ad9152 add_fileset quartus_synth QUARTUS_SYNTH "" "Quartus Synthesis" set_fileset_property quartus_synth TOP_LEVEL axi_ad9152 -add_fileset_file MULT_MACRO.v VERILOG PATH $ad_hdl_dir/library/common/altera/MULT_MACRO.v -add_fileset_file ad_mul.v VERILOG PATH $ad_hdl_dir/library/common/ad_mul.v +add_fileset_file ad_mul.v VERILOG PATH $ad_hdl_dir/library/altera/common/ad_mul.v add_fileset_file ad_dds_sine.v VERILOG PATH $ad_hdl_dir/library/common/ad_dds_sine.v add_fileset_file ad_dds_1.v VERILOG PATH $ad_hdl_dir/library/common/ad_dds_1.v add_fileset_file ad_dds.v VERILOG PATH $ad_hdl_dir/library/common/ad_dds.v diff --git a/library/axi_ad9152/axi_ad9152_ip.tcl b/library/axi_ad9152/axi_ad9152_ip.tcl index 5f67222e0..055b8becb 100644 --- a/library/axi_ad9152/axi_ad9152_ip.tcl +++ b/library/axi_ad9152/axi_ad9152_ip.tcl @@ -6,7 +6,7 @@ source $ad_hdl_dir/library/scripts/adi_ip.tcl adi_ip_create axi_ad9152 adi_ip_files axi_ad9152 [list \ "$ad_hdl_dir/library/common/ad_axi_ip_constr.xdc" \ - "$ad_hdl_dir/library/common/ad_mul.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_mul.v" \ "$ad_hdl_dir/library/common/ad_dds_sine.v" \ "$ad_hdl_dir/library/common/ad_dds_1.v" \ "$ad_hdl_dir/library/common/ad_dds.v" \ diff --git a/library/axi_ad9162/axi_ad9162_ip.tcl b/library/axi_ad9162/axi_ad9162_ip.tcl index 7c30478c1..07312eb24 100644 --- a/library/axi_ad9162/axi_ad9162_ip.tcl +++ b/library/axi_ad9162/axi_ad9162_ip.tcl @@ -6,7 +6,7 @@ source $ad_hdl_dir/library/scripts/adi_ip.tcl adi_ip_create axi_ad9162 adi_ip_files axi_ad9162 [list \ "$ad_hdl_dir/library/common/ad_axi_ip_constr.xdc" \ - "$ad_hdl_dir/library/common/ad_mul.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_mul.v" \ "$ad_hdl_dir/library/common/ad_dds_sine.v" \ "$ad_hdl_dir/library/common/ad_dds_1.v" \ "$ad_hdl_dir/library/common/ad_dds.v" \ diff --git a/library/axi_ad9265/axi_ad9265_ip.tcl b/library/axi_ad9265/axi_ad9265_ip.tcl index b35aeebbd..2310f642a 100644 --- a/library/axi_ad9265/axi_ad9265_ip.tcl +++ b/library/axi_ad9265/axi_ad9265_ip.tcl @@ -6,8 +6,8 @@ source $ad_hdl_dir/library/scripts/adi_ip.tcl adi_ip_create axi_ad9265 adi_ip_files axi_ad9265 [list \ "$ad_hdl_dir/library/common/ad_rst.v" \ - "$ad_hdl_dir/library/common/ad_lvds_clk.v" \ - "$ad_hdl_dir/library/common/ad_lvds_in.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_lvds_clk.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_lvds_in.v" \ "$ad_hdl_dir/library/common/ad_datafmt.v" \ "$ad_hdl_dir/library/common/ad_dcfilter.v" \ "$ad_hdl_dir/library/common/ad_pnmon.v" \ diff --git a/library/axi_ad9361/axi_ad9361_hw.tcl b/library/axi_ad9361/axi_ad9361_hw.tcl index fec710019..77b3d4cd4 100644 --- a/library/axi_ad9361/axi_ad9361_hw.tcl +++ b/library/axi_ad9361/axi_ad9361_hw.tcl @@ -15,16 +15,14 @@ set_module_property ELABORATION_CALLBACK p_axi_ad9361 add_fileset quartus_synth QUARTUS_SYNTH "" "" set_fileset_property quartus_synth TOP_LEVEL axi_ad9361 -add_fileset_file MULT_MACRO.v VERILOG PATH $ad_hdl_dir/library/common/altera/MULT_MACRO.v -add_fileset_file DSP48E1.v VERILOG PATH $ad_hdl_dir/library/common/altera/DSP48E1.v add_fileset_file ad_rst.v VERILOG PATH $ad_hdl_dir/library/common/ad_rst.v -add_fileset_file ad_lvds_clk.v VERILOG PATH $ad_hdl_dir/library/common/altera/ad_lvds_clk.v -add_fileset_file ad_lvds_in.v VERILOG PATH $ad_hdl_dir/library/common/altera/ad_lvds_in.v -add_fileset_file ad_lvds_out.v VERILOG PATH $ad_hdl_dir/library/common/altera/ad_lvds_out.v -add_fileset_file ad_cmos_clk.v VERILOG PATH $ad_hdl_dir/library/common/altera/ad_cmos_clk.v -add_fileset_file ad_cmos_in.v VERILOG PATH $ad_hdl_dir/library/common/altera/ad_cmos_in.v -add_fileset_file ad_cmos_out.v VERILOG PATH $ad_hdl_dir/library/common/altera/ad_cmos_out.v -add_fileset_file ad_mul.v VERILOG PATH $ad_hdl_dir/library/common/ad_mul.v +add_fileset_file ad_lvds_clk.v VERILOG PATH $ad_hdl_dir/library/altera/common/ad_lvds_clk.v +add_fileset_file ad_lvds_in.v VERILOG PATH $ad_hdl_dir/library/altera/common/ad_lvds_in.v +add_fileset_file ad_lvds_out.v VERILOG PATH $ad_hdl_dir/library/altera/common/ad_lvds_out.v +add_fileset_file ad_cmos_clk.v VERILOG PATH $ad_hdl_dir/library/altera/common/ad_cmos_clk.v +add_fileset_file ad_cmos_in.v VERILOG PATH $ad_hdl_dir/library/altera/common/ad_cmos_in.v +add_fileset_file ad_cmos_out.v VERILOG PATH $ad_hdl_dir/library/altera/common/ad_cmos_out.v +add_fileset_file ad_mul.v VERILOG PATH $ad_hdl_dir/library/altera/common/ad_mul.v add_fileset_file ad_pnmon.v VERILOG PATH $ad_hdl_dir/library/common/ad_pnmon.v add_fileset_file ad_dds_sine.v VERILOG PATH $ad_hdl_dir/library/common/ad_dds_sine.v add_fileset_file ad_dds_1.v VERILOG PATH $ad_hdl_dir/library/common/ad_dds_1.v @@ -94,7 +92,7 @@ set_parameter_property ADC_DATAPATH_DISABLE TYPE INTEGER set_parameter_property ADC_DATAPATH_DISABLE UNITS None set_parameter_property ADC_DATAPATH_DISABLE HDL_PARAMETER true -add_parameter DEVICE_FAMILY STRING +add_parameter DEVICE_FAMILY STRING set_parameter_property DEVICE_FAMILY SYSTEM_INFO {DEVICE_FAMILY} set_parameter_property DEVICE_FAMILY AFFECTS_GENERATION true set_parameter_property DEVICE_FAMILY HDL_PARAMETER false diff --git a/library/axi_ad9361/axi_ad9361_ip.tcl b/library/axi_ad9361/axi_ad9361_ip.tcl index 470b780d3..1e8b1a2be 100755 --- a/library/axi_ad9361/axi_ad9361_ip.tcl +++ b/library/axi_ad9361/axi_ad9361_ip.tcl @@ -7,13 +7,13 @@ adi_ip_create axi_ad9361 adi_ip_files axi_ad9361 [list \ "$ad_hdl_dir/library/common/ad_axi_ip_constr.xdc" \ "$ad_hdl_dir/library/common/ad_rst.v" \ - "$ad_hdl_dir/library/common/ad_lvds_clk.v" \ - "$ad_hdl_dir/library/common/ad_lvds_in.v" \ - "$ad_hdl_dir/library/common/ad_lvds_out.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_lvds_clk.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_lvds_in.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_lvds_out.v" \ "$ad_hdl_dir/library/common/ad_cmos_clk.v" \ "$ad_hdl_dir/library/common/ad_cmos_in.v" \ "$ad_hdl_dir/library/common/ad_cmos_out.v" \ - "$ad_hdl_dir/library/common/ad_mul.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_mul.v" \ "$ad_hdl_dir/library/common/ad_pnmon.v" \ "$ad_hdl_dir/library/common/ad_dds_sine.v" \ "$ad_hdl_dir/library/common/ad_dds_1.v" \ diff --git a/library/axi_ad9371/axi_ad9371_hw.tcl b/library/axi_ad9371/axi_ad9371_hw.tcl index 24e732442..569c5ac79 100755 --- a/library/axi_ad9371/axi_ad9371_hw.tcl +++ b/library/axi_ad9371/axi_ad9371_hw.tcl @@ -14,10 +14,8 @@ set_module_property DISPLAY_NAME axi_ad9371 add_fileset quartus_synth QUARTUS_SYNTH "" "Quartus Synthesis" set_fileset_property quartus_synth TOP_LEVEL axi_ad9371 -add_fileset_file MULT_MACRO.v VERILOG PATH $ad_hdl_dir/library/common/altera/MULT_MACRO.v -add_fileset_file DSP48E1.v VERILOG PATH $ad_hdl_dir/library/common/altera/DSP48E1.v add_fileset_file ad_rst.v VERILOG PATH $ad_hdl_dir/library/common/ad_rst.v -add_fileset_file ad_mul.v VERILOG PATH $ad_hdl_dir/library/common/ad_mul.v +add_fileset_file ad_mul.v VERILOG PATH $ad_hdl_dir/library/altera/common/ad_mul.v add_fileset_file ad_dds_sine.v VERILOG PATH $ad_hdl_dir/library/common/ad_dds_sine.v add_fileset_file ad_dds_1.v VERILOG PATH $ad_hdl_dir/library/common/ad_dds_1.v add_fileset_file ad_dds.v VERILOG PATH $ad_hdl_dir/library/common/ad_dds.v diff --git a/library/axi_ad9371/axi_ad9371_ip.tcl b/library/axi_ad9371/axi_ad9371_ip.tcl index dc67d418e..7f5e2afe6 100755 --- a/library/axi_ad9371/axi_ad9371_ip.tcl +++ b/library/axi_ad9371/axi_ad9371_ip.tcl @@ -7,7 +7,7 @@ adi_ip_create axi_ad9371 adi_ip_files axi_ad9371 [list \ "$ad_hdl_dir/library/common/ad_axi_ip_constr.xdc" \ "$ad_hdl_dir/library/common/ad_rst.v" \ - "$ad_hdl_dir/library/common/ad_mul.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_mul.v" \ "$ad_hdl_dir/library/common/ad_dds_sine.v" \ "$ad_hdl_dir/library/common/ad_dds_1.v" \ "$ad_hdl_dir/library/common/ad_dds.v" \ diff --git a/library/axi_ad9434/axi_ad9434_ip.tcl b/library/axi_ad9434/axi_ad9434_ip.tcl index d13a1bbd6..7e9f4cb4f 100644 --- a/library/axi_ad9434/axi_ad9434_ip.tcl +++ b/library/axi_ad9434/axi_ad9434_ip.tcl @@ -5,9 +5,9 @@ source $ad_hdl_dir/library/scripts/adi_ip.tcl adi_ip_create axi_ad9434 adi_ip_files axi_ad9434 [list \ - "$ad_hdl_dir/library/common/ad_serdes_clk.v" \ - "$ad_hdl_dir/library/common/ad_mmcm_drp.v" \ - "$ad_hdl_dir/library/common/ad_serdes_in.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_serdes_clk.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_mmcm_drp.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_serdes_in.v" \ "$ad_hdl_dir/library/common/ad_datafmt.v" \ "$ad_hdl_dir/library/common/ad_rst.v" \ "$ad_hdl_dir/library/common/up_xfer_cntrl.v" \ diff --git a/library/axi_ad9467/axi_ad9467_ip.tcl b/library/axi_ad9467/axi_ad9467_ip.tcl index 127effe37..1c61b680e 100644 --- a/library/axi_ad9467/axi_ad9467_ip.tcl +++ b/library/axi_ad9467/axi_ad9467_ip.tcl @@ -6,8 +6,8 @@ source $ad_hdl_dir/library/scripts/adi_ip.tcl adi_ip_create axi_ad9467 adi_ip_files axi_ad9467 [list \ "$ad_hdl_dir/library/common/ad_rst.v" \ - "$ad_hdl_dir/library/common/ad_lvds_clk.v" \ - "$ad_hdl_dir/library/common/ad_lvds_in.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_lvds_clk.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_lvds_in.v" \ "$ad_hdl_dir/library/common/ad_datafmt.v" \ "$ad_hdl_dir/library/common/ad_pnmon.v" \ "$ad_hdl_dir/library/common/up_xfer_status.v" \ diff --git a/library/axi_ad9643/axi_ad9643_ip.tcl b/library/axi_ad9643/axi_ad9643_ip.tcl index 51781ca0c..cbb5e2a9d 100644 --- a/library/axi_ad9643/axi_ad9643_ip.tcl +++ b/library/axi_ad9643/axi_ad9643_ip.tcl @@ -6,9 +6,9 @@ source $ad_hdl_dir/library/scripts/adi_ip.tcl adi_ip_create axi_ad9643 adi_ip_files axi_ad9643 [list \ "$ad_hdl_dir/library/common/ad_rst.v" \ - "$ad_hdl_dir/library/common/ad_mul.v" \ - "$ad_hdl_dir/library/common/ad_lvds_clk.v" \ - "$ad_hdl_dir/library/common/ad_lvds_in.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_mul.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_lvds_clk.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_lvds_in.v" \ "$ad_hdl_dir/library/common/ad_pnmon.v" \ "$ad_hdl_dir/library/common/ad_datafmt.v" \ "$ad_hdl_dir/library/common/ad_dcfilter.v" \ diff --git a/library/axi_ad9652/axi_ad9652_ip.tcl b/library/axi_ad9652/axi_ad9652_ip.tcl index 5033b8b03..99bb266b9 100644 --- a/library/axi_ad9652/axi_ad9652_ip.tcl +++ b/library/axi_ad9652/axi_ad9652_ip.tcl @@ -6,9 +6,9 @@ source $ad_hdl_dir/library/scripts/adi_ip.tcl adi_ip_create axi_ad9652 adi_ip_files axi_ad9652 [list \ "$ad_hdl_dir/library/common/ad_rst.v" \ - "$ad_hdl_dir/library/common/ad_mul.v" \ - "$ad_hdl_dir/library/common/ad_lvds_clk.v" \ - "$ad_hdl_dir/library/common/ad_lvds_in.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_mul.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_lvds_clk.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_lvds_in.v" \ "$ad_hdl_dir/library/common/ad_pnmon.v" \ "$ad_hdl_dir/library/common/ad_dcfilter.v" \ "$ad_hdl_dir/library/common/ad_iqcor.v" \ diff --git a/library/axi_ad9684/axi_ad9684_ip.tcl b/library/axi_ad9684/axi_ad9684_ip.tcl index 828ce234b..3ad31474e 100644 --- a/library/axi_ad9684/axi_ad9684_ip.tcl +++ b/library/axi_ad9684/axi_ad9684_ip.tcl @@ -7,9 +7,9 @@ source $ad_hdl_dir/library/scripts/adi_ip.tcl adi_ip_create axi_ad9684 adi_ip_files axi_ad9684 [list \ "$ad_hdl_dir/library/common/ad_rst.v" \ - "$ad_hdl_dir/library/common/ad_serdes_in.v" \ - "$ad_hdl_dir/library/common/ad_serdes_clk.v" \ - "$ad_hdl_dir/library/common/ad_mmcm_drp.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_serdes_in.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_serdes_clk.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_mmcm_drp.v" \ "$ad_hdl_dir/library/common/ad_datafmt.v" \ "$ad_hdl_dir/library/common/ad_pnmon.v" \ "$ad_hdl_dir/library/common/up_xfer_status.v" \ diff --git a/library/axi_ad9739a/axi_ad9739a_ip.tcl b/library/axi_ad9739a/axi_ad9739a_ip.tcl index 49134339c..3c152248d 100644 --- a/library/axi_ad9739a/axi_ad9739a_ip.tcl +++ b/library/axi_ad9739a/axi_ad9739a_ip.tcl @@ -5,12 +5,12 @@ source $ad_hdl_dir/library/scripts/adi_ip.tcl adi_ip_create axi_ad9739a adi_ip_files axi_ad9739a [list \ - "$ad_hdl_dir/library/common/ad_mul.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_mul.v" \ "$ad_hdl_dir/library/common/ad_dds_sine.v" \ "$ad_hdl_dir/library/common/ad_dds_1.v" \ "$ad_hdl_dir/library/common/ad_dds.v" \ "$ad_hdl_dir/library/common/ad_rst.v" \ - "$ad_hdl_dir/library/common/ad_serdes_out.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_serdes_out.v" \ "$ad_hdl_dir/library/common/up_axi.v" \ "$ad_hdl_dir/library/common/up_xfer_cntrl.v" \ "$ad_hdl_dir/library/common/up_xfer_status.v" \ diff --git a/library/axi_clkgen/axi_clkgen_ip.tcl b/library/axi_clkgen/axi_clkgen_ip.tcl index 0a472245e..4f041d954 100644 --- a/library/axi_clkgen/axi_clkgen_ip.tcl +++ b/library/axi_clkgen/axi_clkgen_ip.tcl @@ -6,7 +6,7 @@ source $ad_hdl_dir/library/scripts/adi_ip.tcl adi_ip_create axi_clkgen adi_ip_files axi_clkgen [list \ "$ad_hdl_dir/library/common/ad_rst.v" \ - "$ad_hdl_dir/library/common/ad_mmcm_drp.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_mmcm_drp.v" \ "$ad_hdl_dir/library/common/up_axi.v" \ "$ad_hdl_dir/library/common/up_clkgen.v" \ "axi_clkgen_constr.xdc" \ diff --git a/library/axi_hdmi_tx/axi_hdmi_tx_hw.tcl b/library/axi_hdmi_tx/axi_hdmi_tx_hw.tcl index 8492d8cc1..9ee79219e 100755 --- a/library/axi_hdmi_tx/axi_hdmi_tx_hw.tcl +++ b/library/axi_hdmi_tx/axi_hdmi_tx_hw.tcl @@ -14,7 +14,6 @@ set_module_property DISPLAY_NAME axi_hdmi_tx add_fileset quartus_synth QUARTUS_SYNTH "" "Quartus Synthesis" set_fileset_property quartus_synth TOP_LEVEL axi_hdmi_tx -add_fileset_file MULT_MACRO.v VERILOG PATH $ad_hdl_dir/library/common/altera/MULT_MACRO.v add_fileset_file ad_mem.v VERILOG PATH $ad_hdl_dir/library/common/ad_mem.v add_fileset_file ad_rst.v VERILOG PATH $ad_hdl_dir/library/common/ad_rst.v add_fileset_file ad_csc_1_mul.v VERILOG PATH $ad_hdl_dir/library/common/ad_csc_1_mul.v diff --git a/projects/ad6676evb/vc707/system_project.tcl b/projects/ad6676evb/vc707/system_project.tcl index 5439f1a91..5c7821c5b 100644 --- a/projects/ad6676evb/vc707/system_project.tcl +++ b/projects/ad6676evb/vc707/system_project.tcl @@ -9,7 +9,7 @@ adi_project_create ad6676evb_vc707 adi_project_files ad6676evb_vc707 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/vc707/vc707_system_constr.xdc" ] set_property is_enabled false [get_files *axi_jesd_gt_tx_constr.xdc] diff --git a/projects/ad6676evb/zc706/system_project.tcl b/projects/ad6676evb/zc706/system_project.tcl index b505e455c..debd98e9f 100644 --- a/projects/ad6676evb/zc706/system_project.tcl +++ b/projects/ad6676evb/zc706/system_project.tcl @@ -9,7 +9,7 @@ adi_project_create ad6676evb_zc706 adi_project_files ad6676evb_zc706 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] set_property is_enabled false [get_files *axi_jesd_gt_tx_constr.xdc] diff --git a/projects/ad7616_sdz/zc706/system_project.tcl b/projects/ad7616_sdz/zc706/system_project.tcl index d36937e08..7d5ea14c0 100644 --- a/projects/ad7616_sdz/zc706/system_project.tcl +++ b/projects/ad7616_sdz/zc706/system_project.tcl @@ -23,7 +23,7 @@ adi_project_create ad7616_sdz_zc706 if { $ad7616_if == 0 } { adi_project_files ad7616_sdz_zc706 [list \ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "system_top_si.v" \ "serial_if_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc"] @@ -31,7 +31,7 @@ if { $ad7616_if == 0 } { } elseif { $ad7616_if == 1 } { adi_project_files ad7616_sdz_zc706 [list \ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "system_top_pi.v" \ "parallel_if_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc"] diff --git a/projects/ad7616_sdz/zed/system_project.tcl b/projects/ad7616_sdz/zed/system_project.tcl index f46ea4c76..e74dc8376 100644 --- a/projects/ad7616_sdz/zed/system_project.tcl +++ b/projects/ad7616_sdz/zed/system_project.tcl @@ -23,7 +23,7 @@ adi_project_create ad7616_sdz_zed if { $ad7616_if == 0 } { adi_project_files ad7616_sdz_zed [list \ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "system_top_si.v" \ "serial_if_constr.xdc" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"] @@ -31,7 +31,7 @@ if { $ad7616_if == 0 } { } elseif { $ad7616_if == 1 } { adi_project_files ad7616_sdz_zed [list \ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "system_top_pi.v" \ "parallel_if_constr.xdc" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"] diff --git a/projects/ad7768evb/zed/system_project.tcl b/projects/ad7768evb/zed/system_project.tcl index 76d299860..3373673c1 100644 --- a/projects/ad7768evb/zed/system_project.tcl +++ b/projects/ad7768evb/zed/system_project.tcl @@ -9,7 +9,7 @@ adi_project_files ad7768evb_zed [list \ "system_top.v" \ "system_constr.xdc" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" \ - "$ad_hdl_dir/library/common/ad_iobuf.v" ] + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" ] adi_project_run ad7768evb_zed diff --git a/projects/ad9265_fmc/zc706/system_project.tcl b/projects/ad9265_fmc/zc706/system_project.tcl index 17e5cab4e..92bce7ecf 100644 --- a/projects/ad9265_fmc/zc706/system_project.tcl +++ b/projects/ad9265_fmc/zc706/system_project.tcl @@ -8,7 +8,7 @@ adi_project_files ad9265_fmc_zc706 [list \ "../common/ad9265_spi.v" \ "system_top.v" \ "system_constr.xdc" \ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc"] adi_project_run ad9265_fmc_zc706 diff --git a/projects/ad9434_fmc/zc706/system_project.tcl b/projects/ad9434_fmc/zc706/system_project.tcl index 090308c24..8014a0f5e 100644 --- a/projects/ad9434_fmc/zc706/system_project.tcl +++ b/projects/ad9434_fmc/zc706/system_project.tcl @@ -8,7 +8,7 @@ adi_project_files ad9434_fmc_zc706 [list \ "../common/ad9434_spi.v" \ "system_top.v" \ "system_constr.xdc" \ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc"] adi_project_run ad9434_fmc_zc706 diff --git a/projects/ad9467_fmc/kc705/system_project.tcl b/projects/ad9467_fmc/kc705/system_project.tcl index 8e0368385..23c50fa2c 100644 --- a/projects/ad9467_fmc/kc705/system_project.tcl +++ b/projects/ad9467_fmc/kc705/system_project.tcl @@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl adi_project_create ad9467_fmc_kc705 adi_project_files ad9467_fmc_kc705 [list \ "../common/ad9467_spi.v" \ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "system_top.v" \ "system_constr.xdc" \ "$ad_hdl_dir/projects/common/kc705/kc705_system_constr.xdc"] diff --git a/projects/ad9467_fmc/zed/system_project.tcl b/projects/ad9467_fmc/zed/system_project.tcl index 6e503e355..dfd7ca2ee 100644 --- a/projects/ad9467_fmc/zed/system_project.tcl +++ b/projects/ad9467_fmc/zed/system_project.tcl @@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl adi_project_create ad9467_fmc_zed adi_project_files ad9467_fmc_zed [list \ "../common/ad9467_spi.v" \ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "system_top.v" \ "system_constr.xdc" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc"] diff --git a/projects/ad9739a_fmc/zc706/system_project.tcl b/projects/ad9739a_fmc/zc706/system_project.tcl index f42d59f2c..4a681d17e 100644 --- a/projects/ad9739a_fmc/zc706/system_project.tcl +++ b/projects/ad9739a_fmc/zc706/system_project.tcl @@ -9,7 +9,7 @@ adi_project_create ad9739a_fmc_zc706 adi_project_files ad9739a_fmc_zc706 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] set_property PROCESSING_ORDER EARLY [get_files $ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc] diff --git a/projects/adrv9371x/zc706/system_project.tcl b/projects/adrv9371x/zc706/system_project.tcl index 3a9b054a9..183270edb 100644 --- a/projects/adrv9371x/zc706/system_project.tcl +++ b/projects/adrv9371x/zc706/system_project.tcl @@ -9,7 +9,7 @@ adi_project_create adrv9371x_zc706 adi_project_files adrv9371x_zc706 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_mig_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] diff --git a/projects/adv7511/ac701/system_project.tcl b/projects/adv7511/ac701/system_project.tcl index 0e7089508..053a42176 100644 --- a/projects/adv7511/ac701/system_project.tcl +++ b/projects/adv7511/ac701/system_project.tcl @@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl adi_project_create adv7511_ac701 adi_project_files adv7511_ac701 [list \ "system_top.v" \ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/ac701/ac701_system_constr.xdc" \ "$ad_hdl_dir/projects/adv7511/ac701/system_constr.xdc"] diff --git a/projects/adv7511/kc705/system_project.tcl b/projects/adv7511/kc705/system_project.tcl index 848ad356a..134972a38 100755 --- a/projects/adv7511/kc705/system_project.tcl +++ b/projects/adv7511/kc705/system_project.tcl @@ -7,7 +7,7 @@ adi_project_create adv7511_kc705 adi_project_files adv7511_kc705 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/kc705/kc705_system_constr.xdc" ] adi_project_run adv7511_kc705 diff --git a/projects/adv7511/kcu105/system_project.tcl b/projects/adv7511/kcu105/system_project.tcl index b66326b79..46799e383 100644 --- a/projects/adv7511/kcu105/system_project.tcl +++ b/projects/adv7511/kcu105/system_project.tcl @@ -7,7 +7,7 @@ adi_project_create adv7511_kcu105 adi_project_files adv7511_kcu105 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/kcu105/kcu105_system_constr.xdc" ] set_property PROCESSING_ORDER EARLY [get_files $ad_hdl_dir/projects/common/kcu105/kcu105_system_constr.xdc] diff --git a/projects/adv7511/mitx045/system_project.tcl b/projects/adv7511/mitx045/system_project.tcl index c6c812573..cb94ecb7d 100755 --- a/projects/adv7511/mitx045/system_project.tcl +++ b/projects/adv7511/mitx045/system_project.tcl @@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl adi_project_create adv7511_mitx045 adi_project_files adv7511_mitx045 [list \ "system_top.v" \ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/mitx045/mitx045_system_constr.xdc"] adi_project_run adv7511_mitx045 diff --git a/projects/adv7511/vc707/system_project.tcl b/projects/adv7511/vc707/system_project.tcl index 90ce54fda..f1564ded2 100644 --- a/projects/adv7511/vc707/system_project.tcl +++ b/projects/adv7511/vc707/system_project.tcl @@ -5,7 +5,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl adi_project_create adv7511_vc707 adi_project_files adv7511_vc707 [list \ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "system_top.v" \ "$ad_hdl_dir/projects/common/vc707/vc707_system_constr.xdc" \ "$ad_hdl_dir/projects/adv7511/vc707/system_constr.xdc"] diff --git a/projects/adv7511/zc702/system_project.tcl b/projects/adv7511/zc702/system_project.tcl index 1f20c8ff0..01a7677c5 100644 --- a/projects/adv7511/zc702/system_project.tcl +++ b/projects/adv7511/zc702/system_project.tcl @@ -7,7 +7,7 @@ adi_project_create adv7511_zc702 adi_project_files adv7511_zc702 [list \ "system_top.v" \ "$ad_hdl_dir/projects/common/zc702/zc702_system_constr.xdc" \ - "$ad_hdl_dir/library/common/ad_iobuf.v" ] + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" ] adi_project_run adv7511_zc702 diff --git a/projects/adv7511/zc706/system_project.tcl b/projects/adv7511/zc706/system_project.tcl index ffcd1819f..66167473f 100644 --- a/projects/adv7511/zc706/system_project.tcl +++ b/projects/adv7511/zc706/system_project.tcl @@ -7,7 +7,7 @@ adi_project_create adv7511_zc706 adi_project_files adv7511_zc706 [list \ "system_top.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" \ - "$ad_hdl_dir/library/common/ad_iobuf.v"] + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] adi_project_run adv7511_zc706 diff --git a/projects/adv7511/zed/system_project.tcl b/projects/adv7511/zed/system_project.tcl index a18cd7bf5..fc46b54e4 100644 --- a/projects/adv7511/zed/system_project.tcl +++ b/projects/adv7511/zed/system_project.tcl @@ -9,7 +9,7 @@ adi_project_create adv7511_zed adi_project_files adv7511_zed [list \ "system_top.v" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" \ - "$ad_hdl_dir/library/common/ad_iobuf.v"] + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] adi_project_run adv7511_zed diff --git a/projects/cftl_cip/zed/system_project.tcl b/projects/cftl_cip/zed/system_project.tcl index 7fd75f90c..7f66bf329 100644 --- a/projects/cftl_cip/zed/system_project.tcl +++ b/projects/cftl_cip/zed/system_project.tcl @@ -16,7 +16,7 @@ adi_project_files cftl_custom_zed [list \ "system_top.v" \ "system_constr.xdc" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" \ - "$ad_hdl_dir/library/common/ad_iobuf.v"] + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] adi_project_run cftl_custom_zed diff --git a/projects/cftl_std/zed/system_project.tcl b/projects/cftl_std/zed/system_project.tcl index 8967736a9..f33858eef 100644 --- a/projects/cftl_std/zed/system_project.tcl +++ b/projects/cftl_std/zed/system_project.tcl @@ -7,7 +7,7 @@ adi_project_create cftl_std_zed adi_project_files cftl_std_zed [list \ "system_top.v" \ "system_constr.xdc" \ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" ] adi_project_run cftl_std_zed diff --git a/projects/cn0363/microzed/system_project.tcl b/projects/cn0363/microzed/system_project.tcl index a66ba45b8..e56e42a4d 100644 --- a/projects/cn0363/microzed/system_project.tcl +++ b/projects/cn0363/microzed/system_project.tcl @@ -7,6 +7,6 @@ adi_project_files cn0363_microzed [list \ "system_top.v" \ "system_constr.xdc" \ "$ad_hdl_dir/projects/common/microzed/microzed_system_constr.xdc" \ - "$ad_hdl_dir/library/common/ad_iobuf.v"] + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] adi_project_run cn0363_microzed diff --git a/projects/cn0363/zed/system_project.tcl b/projects/cn0363/zed/system_project.tcl index 9aff54995..10baae44f 100644 --- a/projects/cn0363/zed/system_project.tcl +++ b/projects/cn0363/zed/system_project.tcl @@ -7,6 +7,6 @@ adi_project_files cn0363_zed [list \ "system_top.v" \ "system_constr.xdc" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" \ - "$ad_hdl_dir/library/common/ad_iobuf.v"] + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] adi_project_run cn0363_zed diff --git a/projects/daq1/zc706/system_project.tcl b/projects/daq1/zc706/system_project.tcl index b468be7db..f38fb7b99 100644 --- a/projects/daq1/zc706/system_project.tcl +++ b/projects/daq1/zc706/system_project.tcl @@ -6,7 +6,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl adi_project_create daq1_zc706 adi_project_files daq1_zc706 [list \ "../common/daq1_spi.v" \ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "system_constr.xdc"\ "$ad_hdl_dir/projects/common/zc706/zc706_system_mig_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" \ diff --git a/projects/daq2/kc705/system_project.tcl b/projects/daq2/kc705/system_project.tcl index 41d22923e..4e352bf38 100644 --- a/projects/daq2/kc705/system_project.tcl +++ b/projects/daq2/kc705/system_project.tcl @@ -10,7 +10,7 @@ adi_project_files daq2_kc705 [list \ "../common/daq2_spi.v" \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/kc705/kc705_system_constr.xdc" ] set_property PROCESSING_ORDER EARLY [get_files $ad_hdl_dir/projects/common/kc705/kc705_system_constr.xdc] diff --git a/projects/daq2/kcu105/system_project.tcl b/projects/daq2/kcu105/system_project.tcl index 5d2541fd6..45db50cd7 100644 --- a/projects/daq2/kcu105/system_project.tcl +++ b/projects/daq2/kcu105/system_project.tcl @@ -10,7 +10,7 @@ adi_project_files daq2_kcu105 [list \ "../common/daq2_spi.v" \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/kcu105/kcu105_system_constr.xdc" ] set_property PROCESSING_ORDER EARLY [get_files $ad_hdl_dir/projects/common/kcu105/kcu105_system_constr.xdc] diff --git a/projects/daq2/vc707/system_project.tcl b/projects/daq2/vc707/system_project.tcl index cff763141..d37dabbd3 100644 --- a/projects/daq2/vc707/system_project.tcl +++ b/projects/daq2/vc707/system_project.tcl @@ -10,7 +10,7 @@ adi_project_files daq2_vc707 [list \ "../common/daq2_spi.v" \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/vc707/vc707_system_constr.xdc" ] set_property PROCESSING_ORDER EARLY [get_files $ad_hdl_dir/projects/common/vc707/vc707_system_constr.xdc] diff --git a/projects/daq2/zc706/system_project.tcl b/projects/daq2/zc706/system_project.tcl index 8d6209938..ba06bf649 100644 --- a/projects/daq2/zc706/system_project.tcl +++ b/projects/daq2/zc706/system_project.tcl @@ -10,7 +10,7 @@ adi_project_files daq2_zc706 [list \ "../common/daq2_spi.v" \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_mig_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] diff --git a/projects/daq3/kcu105/system_project.tcl b/projects/daq3/kcu105/system_project.tcl index 1d0b428b7..db5948939 100644 --- a/projects/daq3/kcu105/system_project.tcl +++ b/projects/daq3/kcu105/system_project.tcl @@ -10,7 +10,7 @@ adi_project_files daq3_kcu105 [list \ "../common/daq3_spi.v" \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/kcu105/kcu105_system_constr.xdc" ] set_property PROCESSING_ORDER EARLY [get_files $ad_hdl_dir/projects/common/kcu105/kcu105_system_constr.xdc] diff --git a/projects/daq3/zc706/system_project.tcl b/projects/daq3/zc706/system_project.tcl index 1d91ac442..cd6094f43 100644 --- a/projects/daq3/zc706/system_project.tcl +++ b/projects/daq3/zc706/system_project.tcl @@ -10,7 +10,7 @@ adi_project_files daq3_zc706 [list \ "../common/daq3_spi.v" \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_mig_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] diff --git a/projects/fmcadc2/vc707/system_project.tcl b/projects/fmcadc2/vc707/system_project.tcl index cdbb502bc..b19774007 100644 --- a/projects/fmcadc2/vc707/system_project.tcl +++ b/projects/fmcadc2/vc707/system_project.tcl @@ -10,7 +10,7 @@ adi_project_files fmcadc2_vc707 [list \ "../common/fmcadc2_spi.v" \ "system_top.v" \ "system_constr.xdc" \ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/vc707/vc707_system_constr.xdc" ] set_property is_enabled false [get_files *axi_jesd_gt_tx_constr.xdc] diff --git a/projects/fmcadc2/zc706/system_project.tcl b/projects/fmcadc2/zc706/system_project.tcl index 406afe7d3..af5a50cb7 100644 --- a/projects/fmcadc2/zc706/system_project.tcl +++ b/projects/fmcadc2/zc706/system_project.tcl @@ -10,7 +10,7 @@ adi_project_files fmcadc2_zc706 [list \ "../common/fmcadc2_spi.v" \ "system_top.v" \ "system_constr.xdc" \ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_mig_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] diff --git a/projects/fmcadc4/zc706/system_project.tcl b/projects/fmcadc4/zc706/system_project.tcl index d14bc56c9..787434877 100644 --- a/projects/fmcadc4/zc706/system_project.tcl +++ b/projects/fmcadc4/zc706/system_project.tcl @@ -10,7 +10,7 @@ adi_project_files fmcadc4_zc706 [list \ "../common/fmcadc4_spi.v" \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_mig_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] diff --git a/projects/fmcadc5/vc707/system_project.tcl b/projects/fmcadc5/vc707/system_project.tcl index 8611d77cf..f9297c0ed 100644 --- a/projects/fmcadc5/vc707/system_project.tcl +++ b/projects/fmcadc5/vc707/system_project.tcl @@ -11,8 +11,8 @@ adi_project_files fmcadc5_vc707 [list \ "../common/fmcadc5_psync.v" \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ - "$ad_hdl_dir/library/common/ad_lvds_out.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_lvds_out.v" \ "$ad_hdl_dir/projects/common/vc707/vc707_system_constr.xdc" ] set_property is_enabled false [get_files *axi_jesd_gt_tx_constr.xdc] diff --git a/projects/fmcjesdadc1/kc705/system_project.tcl b/projects/fmcjesdadc1/kc705/system_project.tcl index f5c371353..3b094ef0e 100644 --- a/projects/fmcjesdadc1/kc705/system_project.tcl +++ b/projects/fmcjesdadc1/kc705/system_project.tcl @@ -8,7 +8,7 @@ adi_project_files fmcjesdadc1_kc705 [list \ "../common/fmcjesdadc1_spi.v" \ "system_top.v" \ "system_constr.xdc" \ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/kc705/kc705_system_constr.xdc" ] set_property is_enabled false [get_files *axi_jesd_gt_tx_constr.xdc] diff --git a/projects/fmcjesdadc1/vc707/system_project.tcl b/projects/fmcjesdadc1/vc707/system_project.tcl index 07b5f24af..64689dc0e 100644 --- a/projects/fmcjesdadc1/vc707/system_project.tcl +++ b/projects/fmcjesdadc1/vc707/system_project.tcl @@ -5,7 +5,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl adi_project_create fmcjesdadc1_vc707 adi_project_files fmcjesdadc1_vc707 [list \ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "../common/fmcjesdadc1_spi.v" \ "system_top.v" \ "system_constr.xdc" \ diff --git a/projects/fmcjesdadc1/zc706/system_project.tcl b/projects/fmcjesdadc1/zc706/system_project.tcl index 28443abc5..8d06f1806 100644 --- a/projects/fmcjesdadc1/zc706/system_project.tcl +++ b/projects/fmcjesdadc1/zc706/system_project.tcl @@ -7,7 +7,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl adi_project_create fmcjesdadc1_zc706 adi_project_files fmcjesdadc1_zc706 [list \ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "../common/fmcjesdadc1_spi.v" \ "system_top.v" \ "system_constr.xdc" \ diff --git a/projects/fmcomms1/ac701/system_project.tcl b/projects/fmcomms1/ac701/system_project.tcl index 6ee52d1ad..c41bf1b5d 100644 --- a/projects/fmcomms1/ac701/system_project.tcl +++ b/projects/fmcomms1/ac701/system_project.tcl @@ -7,7 +7,7 @@ adi_project_create fmcomms1_ac701 adi_project_files fmcomms1_ac701 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/ac701/ac701_system_constr.xdc" ] set_property PROCESSING_ORDER EARLY [get_files $ad_hdl_dir/projects/common/ac701/ac701_system_constr.xdc] diff --git a/projects/fmcomms1/kc705/system_project.tcl b/projects/fmcomms1/kc705/system_project.tcl index 1c30388e3..34362a542 100644 --- a/projects/fmcomms1/kc705/system_project.tcl +++ b/projects/fmcomms1/kc705/system_project.tcl @@ -7,7 +7,7 @@ adi_project_create fmcomms1_kc705 adi_project_files fmcomms1_kc705 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/kc705/kc705_system_constr.xdc" ] set_property PROCESSING_ORDER EARLY [get_files $ad_hdl_dir/projects/common/kc705/kc705_system_constr.xdc] diff --git a/projects/fmcomms1/vc707/system_project.tcl b/projects/fmcomms1/vc707/system_project.tcl index bebf0029a..4ab9b41b3 100644 --- a/projects/fmcomms1/vc707/system_project.tcl +++ b/projects/fmcomms1/vc707/system_project.tcl @@ -7,7 +7,7 @@ adi_project_create fmcomms1_vc707 adi_project_files fmcomms1_vc707 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/vc707/vc707_system_constr.xdc" ] set_property PROCESSING_ORDER EARLY [get_files $ad_hdl_dir/projects/common/vc707/vc707_system_constr.xdc] diff --git a/projects/fmcomms1/zc702/system_project.tcl b/projects/fmcomms1/zc702/system_project.tcl index 2f78a58d1..fc13dc6d2 100644 --- a/projects/fmcomms1/zc702/system_project.tcl +++ b/projects/fmcomms1/zc702/system_project.tcl @@ -7,7 +7,7 @@ adi_project_create fmcomms1_zc702 adi_project_files fmcomms1_zc702 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc702/zc702_system_constr.xdc" ] set_property PROCESSING_ORDER EARLY [get_files $ad_hdl_dir/projects/common/zc702/zc702_system_constr.xdc] diff --git a/projects/fmcomms1/zc706/system_project.tcl b/projects/fmcomms1/zc706/system_project.tcl index fcfd9048d..f104736d4 100644 --- a/projects/fmcomms1/zc706/system_project.tcl +++ b/projects/fmcomms1/zc706/system_project.tcl @@ -7,7 +7,7 @@ adi_project_create fmcomms1_zc706 adi_project_files fmcomms1_zc706 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] set_property PROCESSING_ORDER EARLY [get_files $ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc] diff --git a/projects/fmcomms1/zed/system_project.tcl b/projects/fmcomms1/zed/system_project.tcl index 5bf10f8dc..1e5eb5bd0 100644 --- a/projects/fmcomms1/zed/system_project.tcl +++ b/projects/fmcomms1/zed/system_project.tcl @@ -7,7 +7,7 @@ adi_project_create fmcomms1_zed adi_project_files fmcomms1_zed [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" ] set_property PROCESSING_ORDER EARLY [get_files $ad_hdl_dir/projects/common/zed/zed_system_constr.xdc] diff --git a/projects/fmcomms11/zc706/system_project.tcl b/projects/fmcomms11/zc706/system_project.tcl index f9b1c39a7..d0c5093df 100644 --- a/projects/fmcomms11/zc706/system_project.tcl +++ b/projects/fmcomms11/zc706/system_project.tcl @@ -10,7 +10,7 @@ adi_project_files fmcomms11_zc706 [list \ "../common/fmcomms11_spi.v" \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_mig_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] diff --git a/projects/fmcomms2/ac701/system_project.tcl b/projects/fmcomms2/ac701/system_project.tcl index b7a5bf4cd..9338fd190 100644 --- a/projects/fmcomms2/ac701/system_project.tcl +++ b/projects/fmcomms2/ac701/system_project.tcl @@ -7,7 +7,7 @@ adi_project_create fmcomms2_ac701 adi_project_files fmcomms2_ac701 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/ac701/ac701_system_constr.xdc" ] adi_project_run fmcomms2_ac701 diff --git a/projects/fmcomms2/kc705/system_project.tcl b/projects/fmcomms2/kc705/system_project.tcl index aef268c03..aaf3ee949 100644 --- a/projects/fmcomms2/kc705/system_project.tcl +++ b/projects/fmcomms2/kc705/system_project.tcl @@ -7,7 +7,7 @@ adi_project_create fmcomms2_kc705 adi_project_files fmcomms2_kc705 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/kc705/kc705_system_constr.xdc" ] adi_project_run fmcomms2_kc705 diff --git a/projects/fmcomms2/mitx045/system_project.tcl b/projects/fmcomms2/mitx045/system_project.tcl index 405d74069..4a6eeeb60 100755 --- a/projects/fmcomms2/mitx045/system_project.tcl +++ b/projects/fmcomms2/mitx045/system_project.tcl @@ -7,7 +7,7 @@ adi_project_create fmcomms2_mitx045 adi_project_files fmcomms2_mitx045 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/mitx045/mitx045_system_constr.xdc" ] adi_project_run fmcomms2_mitx045 diff --git a/projects/fmcomms2/vc707/system_project.tcl b/projects/fmcomms2/vc707/system_project.tcl index 1176ef25b..40d68552c 100644 --- a/projects/fmcomms2/vc707/system_project.tcl +++ b/projects/fmcomms2/vc707/system_project.tcl @@ -9,7 +9,7 @@ adi_project_create fmcomms2_vc707 adi_project_files fmcomms2_vc707 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/vc707/vc707_system_constr.xdc" ] set_property PROCESSING_ORDER EARLY [get_files $ad_hdl_dir/projects/common/vc707/vc707_system_constr.xdc] diff --git a/projects/fmcomms2/zc702/system_project.tcl b/projects/fmcomms2/zc702/system_project.tcl index 485686110..6bf5f0282 100644 --- a/projects/fmcomms2/zc702/system_project.tcl +++ b/projects/fmcomms2/zc702/system_project.tcl @@ -7,7 +7,7 @@ adi_project_create fmcomms2_zc702 adi_project_files fmcomms2_zc702 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc702/zc702_system_constr.xdc" ] adi_project_run fmcomms2_zc702 diff --git a/projects/fmcomms2/zc706/system_project.tcl b/projects/fmcomms2/zc706/system_project.tcl index 68b41d86f..09b56d4c5 100755 --- a/projects/fmcomms2/zc706/system_project.tcl +++ b/projects/fmcomms2/zc706/system_project.tcl @@ -9,7 +9,7 @@ adi_project_create fmcomms2_zc706 adi_project_files fmcomms2_zc706 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] adi_project_run fmcomms2_zc706 diff --git a/projects/fmcomms2/zc706pr/system_project.tcl b/projects/fmcomms2/zc706pr/system_project.tcl index 89e9c90c5..2202cb71e 100755 --- a/projects/fmcomms2/zc706pr/system_project.tcl +++ b/projects/fmcomms2/zc706pr/system_project.tcl @@ -9,7 +9,7 @@ adi_project_create fmcomms2_zc706 1 adi_project_synth fmcomms2_zc706 "" \ [list "system_top.v" \ "../common/prcfg_bb.v" \ - "$ad_hdl_dir/library/common/ad_iobuf.v"] \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] \ [list "../zc706/system_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc"] diff --git a/projects/fmcomms2/zcu102/system_project.tcl b/projects/fmcomms2/zcu102/system_project.tcl index 6997e3d28..b11579292 100755 --- a/projects/fmcomms2/zcu102/system_project.tcl +++ b/projects/fmcomms2/zcu102/system_project.tcl @@ -9,7 +9,7 @@ adi_project_create fmcomms2_zcu102 adi_project_files fmcomms2_zcu102 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zcu102/zcu102_system_constr.xdc" ] adi_project_run fmcomms2_zcu102 diff --git a/projects/fmcomms2/zed/system_project.tcl b/projects/fmcomms2/zed/system_project.tcl index 330fca68a..a5e387142 100644 --- a/projects/fmcomms2/zed/system_project.tcl +++ b/projects/fmcomms2/zed/system_project.tcl @@ -7,7 +7,7 @@ adi_project_create fmcomms2_zed adi_project_files fmcomms2_zed [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" ] adi_project_run fmcomms2_zed diff --git a/projects/fmcomms5/zc702/system_project.tcl b/projects/fmcomms5/zc702/system_project.tcl index f56d13e91..6f65a412b 100644 --- a/projects/fmcomms5/zc702/system_project.tcl +++ b/projects/fmcomms5/zc702/system_project.tcl @@ -7,7 +7,7 @@ adi_project_create fmcomms5_zc702 adi_project_files fmcomms5_zc702 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc702/zc702_system_constr.xdc" ] adi_project_run fmcomms5_zc702 diff --git a/projects/fmcomms5/zc706/system_project.tcl b/projects/fmcomms5/zc706/system_project.tcl index a791c0266..169ab7abb 100644 --- a/projects/fmcomms5/zc706/system_project.tcl +++ b/projects/fmcomms5/zc706/system_project.tcl @@ -9,7 +9,7 @@ adi_project_create fmcomms5_zc706 adi_project_files fmcomms5_zc706 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] adi_project_run fmcomms5_zc706 diff --git a/projects/fmcomms6/zc706/system_project.tcl b/projects/fmcomms6/zc706/system_project.tcl index 6be65ff55..0ebcebd0d 100644 --- a/projects/fmcomms6/zc706/system_project.tcl +++ b/projects/fmcomms6/zc706/system_project.tcl @@ -10,7 +10,7 @@ adi_project_files fmcomms6_zc706 [list \ "../common/fmcomms6_spi.v" \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] set_property PROCESSING_ORDER EARLY [get_files $ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc] diff --git a/projects/fmcomms7/zc706/system_project.tcl b/projects/fmcomms7/zc706/system_project.tcl index 06c3ab354..35fd66fb5 100644 --- a/projects/fmcomms7/zc706/system_project.tcl +++ b/projects/fmcomms7/zc706/system_project.tcl @@ -10,7 +10,7 @@ adi_project_files fmcomms7_zc706 [list \ "../common/fmcomms7_spi.v" \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_mig_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" ] diff --git a/projects/imageon/zc706/system_project.tcl b/projects/imageon/zc706/system_project.tcl index 32c416aae..6f00db4d7 100644 --- a/projects/imageon/zc706/system_project.tcl +++ b/projects/imageon/zc706/system_project.tcl @@ -7,7 +7,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl adi_project_create imageon_zc706 adi_project_files imageon_zc706 [list \ "system_top.v" \ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "system_constr.xdc" ] adi_project_run imageon_zc706 diff --git a/projects/imageon/zed/system_project.tcl b/projects/imageon/zed/system_project.tcl index a8cb06bc1..52944cc17 100644 --- a/projects/imageon/zed/system_project.tcl +++ b/projects/imageon/zed/system_project.tcl @@ -7,7 +7,7 @@ source $ad_hdl_dir/projects/scripts/adi_board.tcl adi_project_create imageon_zed adi_project_files imageon_zed [list \ "system_top.v" \ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "system_constr.xdc"] adi_project_run imageon_zed diff --git a/projects/motcon2_fmc/zed/system_project.tcl b/projects/motcon2_fmc/zed/system_project.tcl index 65d0b6233..7772b1862 100755 --- a/projects/motcon2_fmc/zed/system_project.tcl +++ b/projects/motcon2_fmc/zed/system_project.tcl @@ -7,7 +7,7 @@ adi_project_create motcon2_fmc_zed adi_project_files motcon2_fmc_zed [list \ "system_top.v" \ "system_constr.xdc" \ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/zed/zed_system_constr.xdc" ] set_property PROCESSING_ORDER LATE [get_files system_constr.xdc] diff --git a/projects/pzsdr/ccbrk/system_project.tcl b/projects/pzsdr/ccbrk/system_project.tcl index 72cf71fed..1aabab6f4 100644 --- a/projects/pzsdr/ccbrk/system_project.tcl +++ b/projects/pzsdr/ccbrk/system_project.tcl @@ -9,7 +9,7 @@ adi_project_create ccbrk_pzsdr adi_project_files ccbrk_pzsdr [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/pzsdr/pzsdr_system_constr.xdc" \ "$ad_hdl_dir/projects/common/pzsdr/pzsdr_bd_system_constr.xdc" \ "$ad_hdl_dir/projects/common/pzsdr/pzsdr_lvds_system_constr.xdc" ] diff --git a/projects/pzsdr/ccbrk_cmos/system_project.tcl b/projects/pzsdr/ccbrk_cmos/system_project.tcl index f32906815..fc22d310b 100644 --- a/projects/pzsdr/ccbrk_cmos/system_project.tcl +++ b/projects/pzsdr/ccbrk_cmos/system_project.tcl @@ -9,7 +9,7 @@ adi_project_create ccbrk_cmos_pzsdr adi_project_files ccbrk_cmos_pzsdr [list \ "system_top.v" \ "../ccbrk/system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/pzsdr/pzsdr_system_constr.xdc" \ "$ad_hdl_dir/projects/common/pzsdr/pzsdr_bd_system_constr.xdc" \ "$ad_hdl_dir/projects/common/pzsdr/pzsdr_cmos_system_constr.xdc" ] diff --git a/projects/pzsdr/ccfmc/system_project.tcl b/projects/pzsdr/ccfmc/system_project.tcl index 7c1d7075e..5550de22b 100644 --- a/projects/pzsdr/ccfmc/system_project.tcl +++ b/projects/pzsdr/ccfmc/system_project.tcl @@ -9,7 +9,7 @@ adi_project_create ccfmc_pzsdr adi_project_files ccfmc_pzsdr [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/pzsdr/pzsdr_system_constr.xdc" \ "$ad_hdl_dir/projects/common/pzsdr/pzsdr_bd_system_constr.xdc" \ "$ad_hdl_dir/projects/common/pzsdr/pzsdr_lvds_system_constr.xdc" ] diff --git a/projects/pzsdr/ccpci/system_project.tcl b/projects/pzsdr/ccpci/system_project.tcl index 10d4a8281..8c9076c3a 100644 --- a/projects/pzsdr/ccpci/system_project.tcl +++ b/projects/pzsdr/ccpci/system_project.tcl @@ -9,7 +9,7 @@ adi_project_create ccpci_pzsdr adi_project_files ccpci_pzsdr [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/pzsdr/pzsdr_system_constr.xdc" \ "$ad_hdl_dir/projects/common/pzsdr/pzsdr_lvds_system_constr.xdc" ] diff --git a/projects/pzsdr1/ccbrk/system_project.tcl b/projects/pzsdr1/ccbrk/system_project.tcl index 304860343..046012886 100644 --- a/projects/pzsdr1/ccbrk/system_project.tcl +++ b/projects/pzsdr1/ccbrk/system_project.tcl @@ -9,7 +9,7 @@ adi_project_create ccbrk_pzsdr1 adi_project_files ccbrk_pzsdr1 [list \ "system_top.v" \ "system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/pzsdr1/pzsdr1_system_constr.xdc" \ "$ad_hdl_dir/projects/common/pzsdr1/pzsdr1_lvds_system_constr.xdc" ] diff --git a/projects/pzsdr1/ccbrk_cmos/system_project.tcl b/projects/pzsdr1/ccbrk_cmos/system_project.tcl index e251c533c..45d3a4c8d 100644 --- a/projects/pzsdr1/ccbrk_cmos/system_project.tcl +++ b/projects/pzsdr1/ccbrk_cmos/system_project.tcl @@ -9,7 +9,7 @@ adi_project_create ccbrk_cmos_pzsdr1 adi_project_files ccbrk_cmos_pzsdr1 [list \ "system_top.v" \ "../ccbrk/system_constr.xdc"\ - "$ad_hdl_dir/library/common/ad_iobuf.v" \ + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v" \ "$ad_hdl_dir/projects/common/pzsdr1/pzsdr1_system_constr.xdc" \ "$ad_hdl_dir/projects/common/pzsdr1/pzsdr1_cmos_system_constr.xdc" ] diff --git a/projects/usb_fx3/zc706/system_project.tcl b/projects/usb_fx3/zc706/system_project.tcl index 8bfc00965..01174c14f 100644 --- a/projects/usb_fx3/zc706/system_project.tcl +++ b/projects/usb_fx3/zc706/system_project.tcl @@ -8,7 +8,7 @@ adi_project_files usb_fx3_zc706 [list \ "system_top.v" \ "system_constr.xdc" \ "$ad_hdl_dir/projects/common/zc706/zc706_system_constr.xdc" \ - "$ad_hdl_dir/library/common/ad_iobuf.v"] + "$ad_hdl_dir/library/xilinx/common/ad_iobuf.v"] adi_project_run usb_fx3_zc706