Makefile: Added top level Makefile. Modified behavior of clean and clean-all

Clean ran for a project will clean only the project files.
Clean-all ran for a project will clean also the library files on which the project depends.
The clean commands will only remove specific files and directories.

The top Makefile allows several options(per suggestion from jameyhicks):
make fmcomms1.zed will run "make all" in projects/fmcomms1/zed/
make clean will run "make clean" for all the projects
make clean-all will run "make clean" for all the projects and libraries
make lib will run "make all" for the library files
main
Adrian Costina 2015-04-17 17:22:38 +03:00
parent fd2f5836f0
commit dc2b37bd0c
132 changed files with 1623 additions and 1588 deletions

50
Makefile Normal file
View File

@ -0,0 +1,50 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################
help:
@echo ""
@echo "Please specify a target."
@echo ""
@echo "To make all projects:"
@echo " make all"
@echo ""
@echo "To build a specific project:"
@echo " make proj.board"
@echo "e.g.,"
@echo " make fmcomms1.zed"
PROJECTS := $(filter-out $(NO_PROJ), $(shell ls projects))
define PROJECT_RULE
$1.$2:
cd projects/$1/$2; make
endef
define APROJECT_RULE
$(foreach archname,$(shell ls projects/$1), $(eval $(call PROJECT_RULE,$1,$(archname))))
endef
$(foreach projname,$(PROJECTS), $(eval $(call APROJECT_RULE,$(projname))))
.PHONY: lib all clean clean-all
lib:
make -C library/ all
all:
make -C projects/ all
clean:
make -C projects/ clean
clean-all:clean
make -C projects/ clean
make -C library/ clean
####################################################################################
####################################################################################

View File

@ -5,11 +5,10 @@
#################################################################################### ####################################################################################
#################################################################################### ####################################################################################
.PHONY: all .PHONY: all lib clean clean-all
all: lib all: lib
.PHONY: clean
clean: clean:
make -C axi_ad6676 clean make -C axi_ad6676 clean
make -C axi_ad7175 clean make -C axi_ad7175 clean
@ -58,11 +57,9 @@ clean:
make -C util_wfifo clean make -C util_wfifo clean
.PHONY: clean-all
clean-all:clean clean-all:clean
.PHONY: lib
lib: lib:
-make -C axi_ad6676 -make -C axi_ad6676
-make -C axi_ad7175 -make -C axi_ad7175

View File

@ -26,26 +26,24 @@ M_DEPS += axi_ad6676_constr.xdc
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_ad6676.xpr all: axi_ad6676.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -25,26 +25,24 @@ M_DEPS += clk_div.v
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_ad7175.xpr all: axi_ad7175.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -31,26 +31,24 @@ M_DEPS += axi_ad9122.v
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_ad9122.xpr all: axi_ad9122.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -28,26 +28,24 @@ M_DEPS += axi_ad9144_constr.xdc
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_ad9144.xpr all: axi_ad9144.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -27,26 +27,24 @@ M_DEPS += axi_ad9152.v
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_ad9152.xpr all: axi_ad9152.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -27,26 +27,24 @@ M_DEPS += axi_ad9234_constr.xdc
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_ad9234.xpr all: axi_ad9234.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -26,26 +26,24 @@ M_DEPS += axi_ad9250.v
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_ad9250.xpr all: axi_ad9250.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -29,26 +29,24 @@ M_DEPS += axi_ad9265.v
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_ad9265.xpr all: axi_ad9265.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -40,26 +40,24 @@ M_DEPS += axi_ad9361.v
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_ad9361.xpr all: axi_ad9361.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -29,26 +29,24 @@ M_DEPS += axi_ad9434.v
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_ad9434.xpr all: axi_ad9434.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -28,26 +28,24 @@ M_DEPS += axi_ad9467.v
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_ad9467.xpr all: axi_ad9467.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -28,26 +28,24 @@ M_DEPS += axi_ad9625_constr.xdc
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_ad9625.xpr all: axi_ad9625.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -32,26 +32,24 @@ M_DEPS += axi_ad9643.v
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_ad9643.xpr all: axi_ad9643.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -30,26 +30,24 @@ M_DEPS += axi_ad9652.v
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_ad9652.xpr all: axi_ad9652.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -27,26 +27,24 @@ M_DEPS += axi_ad9671.v
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_ad9671.xpr all: axi_ad9671.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -27,26 +27,24 @@ M_DEPS += axi_ad9680_constr.xdc
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_ad9680.xpr all: axi_ad9680.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -29,26 +29,24 @@ M_DEPS += axi_ad9739a.v
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_ad9739a.xpr all: axi_ad9739a.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -21,26 +21,24 @@ M_DEPS += axi_adcfifo_constr.xdc
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_adcfifo.xpr all: axi_adcfifo.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -17,26 +17,24 @@ M_DEPS += axi_clkgen.v
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_clkgen.xpr all: axi_clkgen.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -30,26 +30,24 @@ M_DEPS += axi_dmac_constr.xdc
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_dmac.xpr all: axi_dmac.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -25,26 +25,24 @@ M_DEPS += axi_hdmi_rx_core.v
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_hdmi_rx.xpr all: axi_hdmi_rx.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -28,26 +28,24 @@ M_DEPS += axi_hdmi_tx_constr.xdc
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_hdmi_tx.xpr all: axi_hdmi_tx.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -19,29 +19,29 @@ M_DEPS += i2s_tx.vhd
M_DEPS += i2s_clkgen.vhd M_DEPS += i2s_clkgen.vhd
M_DEPS += fifo_synchronizer.vhd M_DEPS += fifo_synchronizer.vhd
M_DEPS += axi_i2s_adi.vhd M_DEPS += axi_i2s_adi.vhd
M_DEPS += axi_i2s_adi_constr.xdc
M_DEPS +=
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_i2s_adi.xpr all: axi_i2s_adi.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -23,26 +23,24 @@ M_DEPS += axi_jesd_gt_constr.xdc
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_jesd_gt.xpr all: axi_jesd_gt.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -26,26 +26,24 @@ M_DEPS += axi_mc_controller.v
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_mc_controller.xpr all: axi_mc_controller.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -23,26 +23,24 @@ M_DEPS += axi_mc_current_monitor.v
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_mc_current_monitor.xpr all: axi_mc_current_monitor.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -24,26 +24,24 @@ M_DEPS += axi_mc_speed.v
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_mc_speed.xpr all: axi_mc_speed.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -19,26 +19,24 @@ M_DEPS += axi_spdif_tx_constr.xdc
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: axi_spdif_tx.xpr all: axi_spdif_tx.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -114,26 +114,24 @@ M_DEPS += controllerperipheralhdladi_pcore.v
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: controllerperipheralhdladi_pcore.xpr all: controllerperipheralhdladi_pcore.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -12,26 +12,24 @@ M_DEPS += util_adc_pack.v
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: util_adc_pack.xpr all: util_adc_pack.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -15,26 +15,24 @@ M_DEPS += util_adcfifo_constr.xdc
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: util_adcfifo.xpr all: util_adcfifo.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -18,26 +18,24 @@ M_DEPS +=
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: util_axis_fifo.xpr all: util_axis_fifo.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -13,26 +13,24 @@ M_DEPS +=
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: util_axis_resize.xpr all: util_axis_resize.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -13,26 +13,24 @@ M_DEPS += util_bsplit_constr.xdc
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: util_bsplit.xpr all: util_bsplit.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -13,26 +13,24 @@ M_DEPS += util_ccat_constr.xdc
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: util_ccat.xpr all: util_ccat.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -15,26 +15,24 @@ M_DEPS += util_cpack_constr.xdc
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: util_cpack.xpr all: util_cpack.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -12,26 +12,24 @@ M_DEPS += util_dac_unpack.v
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: util_dac_unpack.xpr all: util_dac_unpack.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -13,26 +13,24 @@ M_DEPS += util_dacfifo.v
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: util_dacfifo.xpr all: util_dacfifo.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -13,26 +13,24 @@ M_DEPS += util_gmii_to_rgmii.v
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: util_gmii_to_rgmii.xpr all: util_gmii_to_rgmii.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -12,26 +12,24 @@ M_DEPS += util_i2c_mixer.vhd
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: util_i2c_mixer.xpr all: util_i2c_mixer.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -13,26 +13,24 @@ M_DEPS +=
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: util_pmod_adc.xpr all: util_pmod_adc.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -17,26 +17,24 @@ M_DEPS += util_pmod_fmeter_core.v
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: util_pmod_fmeter.xpr all: util_pmod_fmeter.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -12,26 +12,24 @@ M_DEPS += util_rfifo.v
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: util_rfifo.xpr all: util_rfifo.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -15,26 +15,24 @@ M_DEPS += util_upack_constr.xdc
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: util_upack.xpr all: util_upack.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -13,26 +13,24 @@ M_DEPS += util_wfifo.v
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += component.xml
M_FLIST += -not -name '*.prj' M_FLIST += *.jou
M_FLIST += -not -name '*.xml' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += .Xil
M_FLIST += -not -name '*.h' `M_FLIST += component.xml
.PHONY: all
.PHONY: all clean clean-all
all: util_wfifo.xpr all: util_wfifo.xpr
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)

View File

@ -5,7 +5,7 @@
#################################################################################### ####################################################################################
#################################################################################### ####################################################################################
.PHONY: all .PHONY: all clean clean_all
all: all:
-make -C fmcomms6 all -make -C fmcomms6 all
-make -C fmcjesdadc1 all -make -C fmcjesdadc1 all
@ -34,11 +34,34 @@ all:
-make -C daq2 all -make -C daq2 all
.PHONY: clean clean:
clean: clean-all make -C fmcomms6 clean
make -C fmcjesdadc1 clean
make -C daq1 clean
make -C fmcomms7 clean
make -C cftl_cip clean
make -C adv7511 clean
make -C fmcadc5 clean
make -C daq3 clean
make -C ad9265_fmc clean
make -C ad9434_fmc clean
make -C cftl_std clean
make -C motcon1_fmc clean
make -C fmcadc4 clean
make -C fmcomms5 clean
make -C imageon clean
make -C usdrx1 clean
make -C ad6676evb clean
make -C ad9467_fmc clean
make -C fmcomms2_pr clean
make -C fmcomms2 clean
make -C fmcomms1 clean
make -C ad9739a_fmc clean
make -C fmcadc2 clean
make -C motcon2_fmc clean
make -C daq2 clean
.PHONY: clean-all
clean-all: clean-all:
make -C fmcomms6 clean-all make -C fmcomms6 clean-all
make -C fmcjesdadc1 clean-all make -C fmcjesdadc1 clean-all

View File

@ -5,17 +5,17 @@
#################################################################################### ####################################################################################
#################################################################################### ####################################################################################
.PHONY: all .PHONY: all clean clean-all
all: all:
-make -C vc707 all -make -C vc707 all
-make -C zc706 all -make -C zc706 all
.PHONY: clean clean:
clean: clean-all make -C vc707 clean
make -C zc706 clean
.PHONY: clean-all
clean-all: clean-all:
make -C vc707 clean-all make -C vc707 clean-all
make -C zc706 clean-all make -C zc706 clean-all

View File

@ -19,27 +19,28 @@ M_DEPS += ../../../library/axi_ad6676/axi_ad6676.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib ad6676evb_vc707.xpr all: lib ad6676evb_vc707.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
make -C ../../../library/util_bsplit clean make -C ../../../library/util_bsplit clean
@ -51,7 +52,6 @@ ad6676evb_vc707.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> ad6676evb_vc707_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> ad6676evb_vc707_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_dmac make -C ../../../library/axi_dmac

View File

@ -22,27 +22,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib ad6676evb_zc706.xpr all: lib ad6676evb_zc706.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_spdif_tx clean
@ -57,7 +58,6 @@ ad6676evb_zc706.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> ad6676evb_zc706_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> ad6676evb_zc706_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_dmac make -C ../../../library/axi_dmac

View File

@ -5,16 +5,15 @@
#################################################################################### ####################################################################################
#################################################################################### ####################################################################################
.PHONY: all .PHONY: all clean clean-all
all: all:
-make -C zc706 all -make -C zc706 all
.PHONY: clean clean:
clean: clean-all make -C zc706 clean
.PHONY: clean-all
clean-all: clean-all:
make -C zc706 clean-all make -C zc706 clean-all

View File

@ -22,27 +22,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib ad9265_fmc_zc706.xpr all: lib ad9265_fmc_zc706.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/util_wfifo clean make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_spdif_tx clean
@ -56,7 +57,6 @@ ad9265_fmc_zc706.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> ad9265_fmc_zc706_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> ad9265_fmc_zc706_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/util_wfifo make -C ../../../library/util_wfifo
make -C ../../../library/axi_dmac make -C ../../../library/axi_dmac

View File

@ -5,16 +5,15 @@
#################################################################################### ####################################################################################
#################################################################################### ####################################################################################
.PHONY: all .PHONY: all clean clean-all
all: all:
-make -C zc706 all -make -C zc706 all
.PHONY: clean clean:
clean: clean-all make -C zc706 clean
.PHONY: clean-all
clean-all: clean-all:
make -C zc706 clean-all make -C zc706 clean-all

View File

@ -20,27 +20,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib ad9434_fmc_zc706.xpr all: lib ad9434_fmc_zc706.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_ad9434 clean make -C ../../../library/axi_ad9434 clean
make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_spdif_tx clean
@ -53,7 +54,6 @@ ad9434_fmc_zc706.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> ad9434_fmc_zc706_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> ad9434_fmc_zc706_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_dmac make -C ../../../library/axi_dmac
make -C ../../../library/axi_ad9434 make -C ../../../library/axi_ad9434

View File

@ -5,17 +5,17 @@
#################################################################################### ####################################################################################
#################################################################################### ####################################################################################
.PHONY: all .PHONY: all clean clean-all
all: all:
-make -C kc705 all -make -C kc705 all
-make -C zed all -make -C zed all
.PHONY: clean clean:
clean: clean-all make -C kc705 clean
make -C zed clean
.PHONY: clean-all
clean-all: clean-all:
make -C kc705 clean-all make -C kc705 clean-all
make -C zed clean-all make -C zed clean-all

View File

@ -17,27 +17,28 @@ M_DEPS += ../../../library/axi_ad9467/axi_ad9467.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib ad9467_fmc_kc705.xpr all: lib ad9467_fmc_kc705.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_ad9467 clean make -C ../../../library/axi_ad9467 clean
@ -47,7 +48,6 @@ ad9467_fmc_kc705.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> ad9467_fmc_kc705_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> ad9467_fmc_kc705_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_dmac make -C ../../../library/axi_dmac
make -C ../../../library/axi_ad9467 make -C ../../../library/axi_ad9467

View File

@ -22,27 +22,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib ad9467_fmc_zed.xpr all: lib ad9467_fmc_zed.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/util_i2c_mixer clean make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_ad9467 clean make -C ../../../library/axi_ad9467 clean
@ -57,7 +58,6 @@ ad9467_fmc_zed.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> ad9467_fmc_zed_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> ad9467_fmc_zed_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/util_i2c_mixer make -C ../../../library/util_i2c_mixer
make -C ../../../library/axi_dmac make -C ../../../library/axi_dmac

View File

@ -5,16 +5,15 @@
#################################################################################### ####################################################################################
#################################################################################### ####################################################################################
.PHONY: all .PHONY: all clean clean-all
all: all:
-make -C zc706 all -make -C zc706 all
.PHONY: clean clean:
clean: clean-all make -C zc706 clean
.PHONY: clean-all
clean-all: clean-all:
make -C zc706 clean-all make -C zc706 clean-all

View File

@ -20,27 +20,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib ad9739a_fmc_zc706.xpr all: lib ad9739a_fmc_zc706.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_ad9739a clean make -C ../../../library/axi_ad9739a clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_spdif_tx clean
@ -53,7 +54,6 @@ ad9739a_fmc_zc706.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> ad9739a_fmc_zc706_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> ad9739a_fmc_zc706_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_ad9739a make -C ../../../library/axi_ad9739a
make -C ../../../library/axi_dmac make -C ../../../library/axi_dmac

View File

@ -5,7 +5,7 @@
#################################################################################### ####################################################################################
#################################################################################### ####################################################################################
.PHONY: all .PHONY: all clean clean-all
all: all:
-make -C ac701 all -make -C ac701 all
-make -C kc705 all -make -C kc705 all
@ -17,11 +17,17 @@ all:
-make -C zed all -make -C zed all
.PHONY: clean clean:
clean: clean-all make -C ac701 clean
make -C kc705 clean
make -C kcu105 clean
make -C mitx045 clean
make -C vc707 clean
make -C zc702 clean
make -C zc706 clean
make -C zed clean
.PHONY: clean-all
clean-all: clean-all:
make -C ac701 clean-all make -C ac701 clean-all
make -C kc705 clean-all make -C kc705 clean-all

View File

@ -18,27 +18,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib adv7511_ac701.xpr all: lib adv7511_ac701.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean make -C ../../../library/axi_hdmi_tx clean
@ -49,7 +50,6 @@ adv7511_ac701.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> adv7511_ac701_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> adv7511_ac701_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen make -C ../../../library/axi_clkgen

View File

@ -18,27 +18,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib adv7511_kc705.xpr all: lib adv7511_kc705.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean make -C ../../../library/axi_hdmi_tx clean
@ -49,7 +50,6 @@ adv7511_kc705.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> adv7511_kc705_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> adv7511_kc705_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen make -C ../../../library/axi_clkgen

View File

@ -19,27 +19,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib adv7511_kcu105.xpr all: lib adv7511_kcu105.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean make -C ../../../library/axi_hdmi_tx clean
@ -50,7 +51,6 @@ adv7511_kcu105.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> adv7511_kcu105_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> adv7511_kcu105_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen make -C ../../../library/axi_clkgen

View File

@ -18,27 +18,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib adv7511_mitx045.xpr all: lib adv7511_mitx045.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_i2s_adi clean make -C ../../../library/axi_i2s_adi clean
make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_clkgen clean
@ -50,7 +51,6 @@ adv7511_mitx045.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> adv7511_mitx045_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> adv7511_mitx045_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_i2s_adi make -C ../../../library/axi_i2s_adi

View File

@ -18,27 +18,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib adv7511_vc707.xpr all: lib adv7511_vc707.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean make -C ../../../library/axi_hdmi_tx clean
@ -49,7 +50,6 @@ adv7511_vc707.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> adv7511_vc707_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> adv7511_vc707_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen make -C ../../../library/axi_clkgen

View File

@ -17,27 +17,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib adv7511_zc702.xpr all: lib adv7511_zc702.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean make -C ../../../library/axi_hdmi_tx clean
@ -48,7 +49,6 @@ adv7511_zc702.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> adv7511_zc702_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> adv7511_zc702_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen make -C ../../../library/axi_clkgen

View File

@ -17,27 +17,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib adv7511_zc706.xpr all: lib adv7511_zc706.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_clkgen clean
make -C ../../../library/axi_hdmi_tx clean make -C ../../../library/axi_hdmi_tx clean
@ -48,7 +49,6 @@ adv7511_zc706.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> adv7511_zc706_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> adv7511_zc706_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_spdif_tx
make -C ../../../library/axi_clkgen make -C ../../../library/axi_clkgen

View File

@ -19,27 +19,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib adv7511_zed.xpr all: lib adv7511_zed.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/util_i2c_mixer clean make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_i2s_adi clean make -C ../../../library/axi_i2s_adi clean
@ -52,7 +53,6 @@ adv7511_zed.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> adv7511_zed_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> adv7511_zed_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/util_i2c_mixer make -C ../../../library/util_i2c_mixer
make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_spdif_tx

View File

@ -5,16 +5,15 @@
#################################################################################### ####################################################################################
#################################################################################### ####################################################################################
.PHONY: all .PHONY: all clean clean-all
all: all:
-make -C zed all -make -C zed all
.PHONY: clean clean:
clean: clean-all make -C zed clean
.PHONY: clean-all
clean-all: clean-all:
make -C zed clean-all make -C zed clean-all

View File

@ -23,27 +23,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib cftl_cip_zed.xpr all: lib cftl_cip_zed.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/util_i2c_mixer clean make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
@ -59,7 +60,6 @@ cftl_cip_zed.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> cftl_cip_zed_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> cftl_cip_zed_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/util_i2c_mixer make -C ../../../library/util_i2c_mixer
make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_spdif_tx

View File

@ -5,16 +5,15 @@
#################################################################################### ####################################################################################
#################################################################################### ####################################################################################
.PHONY: all .PHONY: all clean clean-all
all: all:
-make -C zed all -make -C zed all
.PHONY: clean clean:
clean: clean-all make -C zed clean
.PHONY: clean-all
clean-all: clean-all:
make -C zed clean-all make -C zed clean-all

View File

@ -20,27 +20,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib cftl_std_zed.xpr all: lib cftl_std_zed.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/util_i2c_mixer clean make -C ../../../library/util_i2c_mixer clean
make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_spdif_tx clean
make -C ../../../library/axi_i2s_adi clean make -C ../../../library/axi_i2s_adi clean
@ -53,7 +54,6 @@ cftl_std_zed.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> cftl_std_zed_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> cftl_std_zed_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/util_i2c_mixer make -C ../../../library/util_i2c_mixer
make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_spdif_tx

View File

@ -5,16 +5,15 @@
#################################################################################### ####################################################################################
#################################################################################### ####################################################################################
.PHONY: all .PHONY: all clean clean-all
all: all:
-make -C zc706 all -make -C zc706 all
.PHONY: clean clean:
clean: clean-all make -C zc706 clean
.PHONY: clean-all
clean-all: clean-all:
make -C zc706 clean-all make -C zc706 clean-all

View File

@ -23,27 +23,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib daq1_zc706.xpr all: lib daq1_zc706.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad9122 clean make -C ../../../library/axi_ad9122 clean
@ -59,7 +60,6 @@ daq1_zc706.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> daq1_zc706_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> daq1_zc706_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit make -C ../../../library/util_bsplit

View File

@ -5,7 +5,7 @@
#################################################################################### ####################################################################################
#################################################################################### ####################################################################################
.PHONY: all .PHONY: all clean clean-all
all: all:
-make -C kc705 all -make -C kc705 all
-make -C kcu105 all -make -C kcu105 all
@ -13,11 +13,13 @@ all:
-make -C zc706 all -make -C zc706 all
.PHONY: clean clean:
clean: clean-all make -C kc705 clean
make -C kcu105 clean
make -C vc707 clean
make -C zc706 clean
.PHONY: clean-all
clean-all: clean-all:
make -C kc705 clean-all make -C kc705 clean-all
make -C kcu105 clean-all make -C kcu105 clean-all

View File

@ -23,27 +23,28 @@ M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib daq2_kc705.xpr all: lib daq2_kc705.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad9680 clean make -C ../../../library/axi_ad9680 clean
@ -58,7 +59,6 @@ daq2_kc705.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> daq2_kc705_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> daq2_kc705_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit make -C ../../../library/util_bsplit

View File

@ -24,27 +24,28 @@ M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib daq2_kcu105.xpr all: lib daq2_kcu105.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad9680 clean make -C ../../../library/axi_ad9680 clean
@ -59,7 +60,6 @@ daq2_kcu105.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> daq2_kcu105_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> daq2_kcu105_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit make -C ../../../library/util_bsplit

View File

@ -23,27 +23,28 @@ M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib daq2_vc707.xpr all: lib daq2_vc707.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad9680 clean make -C ../../../library/axi_ad9680 clean
@ -58,7 +59,6 @@ daq2_vc707.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> daq2_vc707_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> daq2_vc707_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit make -C ../../../library/util_bsplit

View File

@ -26,27 +26,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib daq2_zc706.xpr all: lib daq2_zc706.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad9680 clean make -C ../../../library/axi_ad9680 clean
@ -64,7 +65,6 @@ daq2_zc706.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> daq2_zc706_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> daq2_zc706_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit make -C ../../../library/util_bsplit

View File

@ -5,16 +5,15 @@
#################################################################################### ####################################################################################
#################################################################################### ####################################################################################
.PHONY: all .PHONY: all clean clean-all
all: all:
-make -C zc706 all -make -C zc706 all
.PHONY: clean clean:
clean: clean-all make -C zc706 clean
.PHONY: clean-all
clean-all: clean-all:
make -C zc706 clean-all make -C zc706 clean-all

View File

@ -26,27 +26,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib daq3_zc706.xpr all: lib daq3_zc706.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_ad9680 clean make -C ../../../library/axi_ad9680 clean
@ -64,7 +65,6 @@ daq3_zc706.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> daq3_zc706_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> daq3_zc706_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit make -C ../../../library/util_bsplit

View File

@ -5,17 +5,17 @@
#################################################################################### ####################################################################################
#################################################################################### ####################################################################################
.PHONY: all .PHONY: all clean clean-all
all: all:
-make -C vc707 all -make -C vc707 all
-make -C zc706 all -make -C zc706 all
.PHONY: clean clean:
clean: clean-all make -C vc707 clean
make -C zc706 clean
.PHONY: clean-all
clean-all: clean-all:
make -C vc707 clean-all make -C vc707 clean-all
make -C zc706 clean-all make -C zc706 clean-all

View File

@ -21,27 +21,28 @@ M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib fmcadc2_vc707.xpr all: lib fmcadc2_vc707.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_ad9625 clean make -C ../../../library/axi_ad9625 clean
make -C ../../../library/util_bsplit clean make -C ../../../library/util_bsplit clean
@ -54,7 +55,6 @@ fmcadc2_vc707.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> fmcadc2_vc707_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> fmcadc2_vc707_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_ad9625 make -C ../../../library/axi_ad9625

View File

@ -24,27 +24,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib fmcadc2_zc706.xpr all: lib fmcadc2_zc706.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_ad9625 clean make -C ../../../library/axi_ad9625 clean
make -C ../../../library/util_bsplit clean make -C ../../../library/util_bsplit clean
@ -60,7 +61,6 @@ fmcadc2_zc706.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> fmcadc2_zc706_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> fmcadc2_zc706_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_ad9625 make -C ../../../library/axi_ad9625

View File

@ -5,16 +5,15 @@
#################################################################################### ####################################################################################
#################################################################################### ####################################################################################
.PHONY: all .PHONY: all clean clean-all
all: all:
-make -C zc706 all -make -C zc706 all
.PHONY: clean clean:
clean: clean-all make -C zc706 clean
.PHONY: clean-all
clean-all: clean-all:
make -C zc706 clean-all make -C zc706 clean-all

View File

@ -24,27 +24,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib fmcadc4_zc706.xpr all: lib fmcadc4_zc706.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_adcfifo clean make -C ../../../library/axi_adcfifo clean
@ -60,7 +61,6 @@ fmcadc4_zc706.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> fmcadc4_zc706_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> fmcadc4_zc706_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit make -C ../../../library/util_bsplit

View File

@ -5,16 +5,15 @@
#################################################################################### ####################################################################################
#################################################################################### ####################################################################################
.PHONY: all .PHONY: all clean clean-all
all: all:
-make -C vc707 all -make -C vc707 all
.PHONY: clean clean:
clean: clean-all make -C vc707 clean
.PHONY: clean-all
clean-all: clean-all:
make -C vc707 clean-all make -C vc707 clean-all

View File

@ -21,27 +21,28 @@ M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib fmcadc5_vc707.xpr all: lib fmcadc5_vc707.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/axi_ad9625 clean make -C ../../../library/axi_ad9625 clean
make -C ../../../library/util_bsplit clean make -C ../../../library/util_bsplit clean
@ -54,7 +55,6 @@ fmcadc5_vc707.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> fmcadc5_vc707_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> fmcadc5_vc707_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_jesd_gt
make -C ../../../library/axi_ad9625 make -C ../../../library/axi_ad9625

View File

@ -5,7 +5,7 @@
#################################################################################### ####################################################################################
#################################################################################### ####################################################################################
.PHONY: all .PHONY: all clean clean-all
all: all:
-make -C a5gt all -make -C a5gt all
-make -C a5soc all -make -C a5soc all
@ -14,11 +14,14 @@ all:
-make -C zc706 all -make -C zc706 all
.PHONY: clean clean:
clean: clean-all make -C a5gt clean
make -C a5soc clean
make -C kc705 clean
make -C vc707 clean
make -C zc706 clean
.PHONY: clean-all
clean-all: clean-all:
make -C a5gt clean-all make -C a5gt clean-all
make -C a5soc clean-all make -C a5soc clean-all

View File

@ -20,7 +20,7 @@ M_DEPS += ../../../library/common/sync_bits.v
M_DEPS += ../../../library/common/sync_gray.v M_DEPS += ../../../library/common/sync_gray.v
M_DEPS += ../../../library/common/up_axi.v M_DEPS += ../../../library/common/up_axi.v
M_DEPS += ../../../library/util_axis_resize/util_axis_resize.v M_DEPS += ../../../library/util_axis_resize/util_axis_resize.v
M_DEPS += ../../../library/util_axis_fifo/util_axis_fifofifo.v M_DEPS += ../../../library/util_axis_fifo/util_axis_fifo.v
M_DEPS += ../../../library/util_axis_fifo/address_gray.v M_DEPS += ../../../library/util_axis_fifo/address_gray.v
M_DEPS += ../../../library/util_axis_fifo/address_gray_pipelined.v M_DEPS += ../../../library/util_axis_fifo/address_gray_pipelined.v
M_DEPS += ../../../library/util_axis_fifo/address_sync.v M_DEPS += ../../../library/util_axis_fifo/address_sync.v
@ -64,33 +64,44 @@ M_DEPS += ../../../library/axi_ad9250/axi_ad9250_alt.v
M_ALTERA := quartus_sh --64bit -t M_ALTERA := quartus_sh --64bit -t
M_FLIST := `find . -not -name '.' M_FLIST += *.log
M_FLIST += -not -name '*.tcl' M_FLIST += *_INFO.txt
M_FLIST += -not -name '*.sdc' M_FLIST += *_dump.txt
M_FLIST += -not -name '*.qsys' M_FLIST += db
M_FLIST += -not -name '*.v' M_FLIST += *.asm.rpt
M_FLIST += -not -name '*.xdc' M_FLIST += *.done
M_FLIST += -not -name '*.vhd' M_FLIST += *.eda.rpt
M_FLIST += -not -name '*.prj' M_FLIST += *.fit.*
M_FLIST += -not -name 'Makefile' M_FLIST += *.map.*
M_FLIST += -not -name '*.h' ` M_FLIST += *.sta.*
M_FLIST += *.qsf
M_FLIST += *.qpf
M_FLIST += *.qws
M_FLIST += *.sof
M_FLIST += hc_output
M_FLIST += hps_isw_handoff
M_FLIST += hps_sdram_*.csv
M_FLIST += *ddr3_*.csv
M_FLIST += incremental_db
M_FLIST += reconfig_mif
M_FLIST += *.sopcinfo
M_FLIST += *.jdi
M_FLIST += *.pin
.PHONY: all
.PHONY: all clean clean-all
all: fmcjesdadc1.qpf all: fmcjesdadc1.qpf
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
fmcjesdadc1.qpf: $(M_DEPS) fmcjesdadc1.qpf: $(M_DEPS)
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
$(M_ALTERA) system_project.tcl >> fmcjesdadc1_quartus.log 2>&1 $(M_ALTERA) system_project.tcl >> fmcjesdadc1_quartus.log 2>&1

View File

@ -20,7 +20,7 @@ M_DEPS += ../../../library/common/sync_bits.v
M_DEPS += ../../../library/common/sync_gray.v M_DEPS += ../../../library/common/sync_gray.v
M_DEPS += ../../../library/common/up_axi.v M_DEPS += ../../../library/common/up_axi.v
M_DEPS += ../../../library/util_axis_resize/util_axis_resize.v M_DEPS += ../../../library/util_axis_resize/util_axis_resize.v
M_DEPS += ../../../library/util_axis_fifo/util_axis_fifofifo.v M_DEPS += ../../../library/util_axis_fifo/util_axis_fifo.v
M_DEPS += ../../../library/util_axis_fifo/address_gray.v M_DEPS += ../../../library/util_axis_fifo/address_gray.v
M_DEPS += ../../../library/util_axis_fifo/address_gray_pipelined.v M_DEPS += ../../../library/util_axis_fifo/address_gray_pipelined.v
M_DEPS += ../../../library/util_axis_fifo/address_sync.v M_DEPS += ../../../library/util_axis_fifo/address_sync.v
@ -64,33 +64,44 @@ M_DEPS += ../../../library/axi_ad9250/axi_ad9250_alt.v
M_ALTERA := quartus_sh --64bit -t M_ALTERA := quartus_sh --64bit -t
M_FLIST := `find . -not -name '.' M_FLIST += *.log
M_FLIST += -not -name '*.tcl' M_FLIST += *_INFO.txt
M_FLIST += -not -name '*.sdc' M_FLIST += *_dump.txt
M_FLIST += -not -name '*.qsys' M_FLIST += db
M_FLIST += -not -name '*.v' M_FLIST += *.asm.rpt
M_FLIST += -not -name '*.xdc' M_FLIST += *.done
M_FLIST += -not -name '*.vhd' M_FLIST += *.eda.rpt
M_FLIST += -not -name '*.prj' M_FLIST += *.fit.*
M_FLIST += -not -name 'Makefile' M_FLIST += *.map.*
M_FLIST += -not -name '*.h' ` M_FLIST += *.sta.*
M_FLIST += *.qsf
M_FLIST += *.qpf
M_FLIST += *.qws
M_FLIST += *.sof
M_FLIST += hc_output
M_FLIST += hps_isw_handoff
M_FLIST += hps_sdram_*.csv
M_FLIST += *ddr3_*.csv
M_FLIST += incremental_db
M_FLIST += reconfig_mif
M_FLIST += *.sopcinfo
M_FLIST += *.jdi
M_FLIST += *.pin
.PHONY: all
.PHONY: all clean clean-all
all: fmcjesdadc1.qpf all: fmcjesdadc1.qpf
.PHONY: clean
clean:clean-all clean:clean-all
.PHONY: clean-all
clean-all: clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
fmcjesdadc1.qpf: $(M_DEPS) fmcjesdadc1.qpf: $(M_DEPS)
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
$(M_ALTERA) system_project.tcl >> fmcjesdadc1_quartus.log 2>&1 $(M_ALTERA) system_project.tcl >> fmcjesdadc1_quartus.log 2>&1

View File

@ -19,27 +19,28 @@ M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib fmcjesdadc1_kc705.xpr all: lib fmcjesdadc1_kc705.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
@ -51,7 +52,6 @@ fmcjesdadc1_kc705.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> fmcjesdadc1_kc705_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> fmcjesdadc1_kc705_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit make -C ../../../library/util_bsplit

View File

@ -19,27 +19,28 @@ M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib fmcjesdadc1_vc707.xpr all: lib fmcjesdadc1_vc707.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
@ -51,7 +52,6 @@ fmcjesdadc1_vc707.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> fmcjesdadc1_vc707_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> fmcjesdadc1_vc707_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit make -C ../../../library/util_bsplit

View File

@ -22,27 +22,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib fmcjesdadc1_zc706.xpr all: lib fmcjesdadc1_zc706.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_jesd_gt clean
make -C ../../../library/util_bsplit clean make -C ../../../library/util_bsplit clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
@ -57,7 +58,6 @@ fmcjesdadc1_zc706.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> fmcjesdadc1_zc706_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> fmcjesdadc1_zc706_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_jesd_gt
make -C ../../../library/util_bsplit make -C ../../../library/util_bsplit

View File

@ -5,7 +5,7 @@
#################################################################################### ####################################################################################
#################################################################################### ####################################################################################
.PHONY: all .PHONY: all clean clean-all
all: all:
-make -C ac701 all -make -C ac701 all
-make -C kc705 all -make -C kc705 all
@ -15,11 +15,15 @@ all:
-make -C zed all -make -C zed all
.PHONY: clean clean:
clean: clean-all make -C ac701 clean
make -C kc705 clean
make -C vc707 clean
make -C zc702 clean
make -C zc706 clean
make -C zed clean
.PHONY: clean-all
clean-all: clean-all:
make -C ac701 clean-all make -C ac701 clean-all
make -C kc705 clean-all make -C kc705 clean-all

View File

@ -20,27 +20,28 @@ M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib fmcomms1_ac701.xpr all: lib fmcomms1_ac701.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_ad9122 clean make -C ../../../library/axi_ad9122 clean
make -C ../../../library/util_wfifo clean make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
@ -52,7 +53,6 @@ fmcomms1_ac701.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> fmcomms1_ac701_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> fmcomms1_ac701_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_ad9122 make -C ../../../library/axi_ad9122
make -C ../../../library/util_wfifo make -C ../../../library/util_wfifo

View File

@ -20,27 +20,28 @@ M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib fmcomms1_kc705.xpr all: lib fmcomms1_kc705.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_ad9122 clean make -C ../../../library/axi_ad9122 clean
make -C ../../../library/util_wfifo clean make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
@ -52,7 +53,6 @@ fmcomms1_kc705.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> fmcomms1_kc705_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> fmcomms1_kc705_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_ad9122 make -C ../../../library/axi_ad9122
make -C ../../../library/util_wfifo make -C ../../../library/util_wfifo

View File

@ -20,27 +20,28 @@ M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib fmcomms1_vc707.xpr all: lib fmcomms1_vc707.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_ad9122 clean make -C ../../../library/axi_ad9122 clean
make -C ../../../library/util_wfifo clean make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
@ -52,7 +53,6 @@ fmcomms1_vc707.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> fmcomms1_vc707_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> fmcomms1_vc707_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_ad9122 make -C ../../../library/axi_ad9122
make -C ../../../library/util_wfifo make -C ../../../library/util_wfifo

View File

@ -23,27 +23,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_VIVADO := vivado -mode batch -source M_VIVADO := vivado -mode batch -source
M_FLIST := `find . -not -name '.' M_FLIST := *.cache
M_FLIST += -not -name '*.tcl' M_FLIST += *.data
M_FLIST += -not -name '*.v' M_FLIST += *.xpr
M_FLIST += -not -name '*.xdc' M_FLIST += *.log
M_FLIST += -not -name '*.vhd' M_FLIST += *.jou
M_FLIST += -not -name '*.prj' M_FLIST += xgui
M_FLIST += -not -name 'Makefile' M_FLIST += *.runs
M_FLIST += -not -name '*.h' ` M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += .Xil
.PHONY: all
.PHONY: all lib clean clean-all
all: lib fmcomms1_zc702.xpr all: lib fmcomms1_zc702.xpr
.PHONY: clean clean:
clean: clean-all
.PHONY: clean-all
clean-all:
rm -rf $(M_FLIST) rm -rf $(M_FLIST)
clean-all:clean
make -C ../../../library/axi_ad9122 clean make -C ../../../library/axi_ad9122 clean
make -C ../../../library/util_wfifo clean make -C ../../../library/util_wfifo clean
make -C ../../../library/axi_dmac clean make -C ../../../library/axi_dmac clean
@ -58,7 +59,6 @@ fmcomms1_zc702.xpr: lib $(M_DEPS)
$(M_VIVADO) system_project.tcl >> fmcomms1_zc702_vivado.log 2>&1 $(M_VIVADO) system_project.tcl >> fmcomms1_zc702_vivado.log 2>&1
.PHONY: lib
lib: lib:
make -C ../../../library/axi_ad9122 make -C ../../../library/axi_ad9122
make -C ../../../library/util_wfifo make -C ../../../library/util_wfifo

Some files were not shown because too many files have changed in this diff Show More