diff --git a/Makefile b/Makefile new file mode 100644 index 000000000..d0e81208b --- /dev/null +++ b/Makefile @@ -0,0 +1,50 @@ +#################################################################################### +#################################################################################### +## Copyright 2011(c) Analog Devices, Inc. +## Auto-generated, do not modify! +#################################################################################### +#################################################################################### +help: + @echo "" + @echo "Please specify a target." + @echo "" + @echo "To make all projects:" + @echo " make all" + @echo "" + @echo "To build a specific project:" + @echo " make proj.board" + @echo "e.g.," + @echo " make fmcomms1.zed" + + +PROJECTS := $(filter-out $(NO_PROJ), $(shell ls projects)) +define PROJECT_RULE +$1.$2: + cd projects/$1/$2; make +endef +define APROJECT_RULE + $(foreach archname,$(shell ls projects/$1), $(eval $(call PROJECT_RULE,$1,$(archname)))) +endef +$(foreach projname,$(PROJECTS), $(eval $(call APROJECT_RULE,$(projname)))) + + +.PHONY: lib all clean clean-all + +lib: + make -C library/ all + + +all: + make -C projects/ all + + +clean: + make -C projects/ clean + + +clean-all:clean + make -C projects/ clean + make -C library/ clean + +#################################################################################### +#################################################################################### diff --git a/library/Makefile b/library/Makefile index f371e4995..e07cc0059 100644 --- a/library/Makefile +++ b/library/Makefile @@ -5,11 +5,10 @@ #################################################################################### #################################################################################### -.PHONY: all +.PHONY: all lib clean clean-all all: lib -.PHONY: clean clean: make -C axi_ad6676 clean make -C axi_ad7175 clean @@ -58,11 +57,9 @@ clean: make -C util_wfifo clean -.PHONY: clean-all clean-all:clean -.PHONY: lib lib: -make -C axi_ad6676 -make -C axi_ad7175 diff --git a/library/axi_ad6676/Makefile b/library/axi_ad6676/Makefile index 93576cddc..d9e8d48d4 100644 --- a/library/axi_ad6676/Makefile +++ b/library/axi_ad6676/Makefile @@ -26,26 +26,24 @@ M_DEPS += axi_ad6676_constr.xdc M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_ad6676.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/axi_ad7175/Makefile b/library/axi_ad7175/Makefile index 4af7f9bc3..3b09f223b 100644 --- a/library/axi_ad7175/Makefile +++ b/library/axi_ad7175/Makefile @@ -25,26 +25,24 @@ M_DEPS += clk_div.v M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_ad7175.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/axi_ad9122/Makefile b/library/axi_ad9122/Makefile index 6cf77ad11..65ac74fdc 100644 --- a/library/axi_ad9122/Makefile +++ b/library/axi_ad9122/Makefile @@ -31,26 +31,24 @@ M_DEPS += axi_ad9122.v M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_ad9122.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/axi_ad9144/Makefile b/library/axi_ad9144/Makefile index 108412c49..e9b5b9e32 100644 --- a/library/axi_ad9144/Makefile +++ b/library/axi_ad9144/Makefile @@ -28,26 +28,24 @@ M_DEPS += axi_ad9144_constr.xdc M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_ad9144.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/axi_ad9152/Makefile b/library/axi_ad9152/Makefile index 49a5a0af6..536683388 100644 --- a/library/axi_ad9152/Makefile +++ b/library/axi_ad9152/Makefile @@ -27,26 +27,24 @@ M_DEPS += axi_ad9152.v M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_ad9152.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/axi_ad9234/Makefile b/library/axi_ad9234/Makefile index 49e57de8e..0c6fab0d6 100644 --- a/library/axi_ad9234/Makefile +++ b/library/axi_ad9234/Makefile @@ -27,26 +27,24 @@ M_DEPS += axi_ad9234_constr.xdc M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_ad9234.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/axi_ad9250/Makefile b/library/axi_ad9250/Makefile index 9d0143ee4..291f856c2 100644 --- a/library/axi_ad9250/Makefile +++ b/library/axi_ad9250/Makefile @@ -26,26 +26,24 @@ M_DEPS += axi_ad9250.v M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_ad9250.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/axi_ad9265/Makefile b/library/axi_ad9265/Makefile index c73fd7b67..9f63faba2 100644 --- a/library/axi_ad9265/Makefile +++ b/library/axi_ad9265/Makefile @@ -29,26 +29,24 @@ M_DEPS += axi_ad9265.v M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_ad9265.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/axi_ad9361/Makefile b/library/axi_ad9361/Makefile index b0d3dc883..05b5aea8b 100644 --- a/library/axi_ad9361/Makefile +++ b/library/axi_ad9361/Makefile @@ -40,26 +40,24 @@ M_DEPS += axi_ad9361.v M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_ad9361.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/axi_ad9434/Makefile b/library/axi_ad9434/Makefile index 1c2b6f59d..bf91bfc90 100644 --- a/library/axi_ad9434/Makefile +++ b/library/axi_ad9434/Makefile @@ -29,26 +29,24 @@ M_DEPS += axi_ad9434.v M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_ad9434.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/axi_ad9467/Makefile b/library/axi_ad9467/Makefile index 85a363ede..74d63deb7 100644 --- a/library/axi_ad9467/Makefile +++ b/library/axi_ad9467/Makefile @@ -28,26 +28,24 @@ M_DEPS += axi_ad9467.v M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_ad9467.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/axi_ad9625/Makefile b/library/axi_ad9625/Makefile index 5328f4fea..bc768dec8 100644 --- a/library/axi_ad9625/Makefile +++ b/library/axi_ad9625/Makefile @@ -28,26 +28,24 @@ M_DEPS += axi_ad9625_constr.xdc M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_ad9625.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/axi_ad9643/Makefile b/library/axi_ad9643/Makefile index b78e1c1eb..0e3c2b110 100644 --- a/library/axi_ad9643/Makefile +++ b/library/axi_ad9643/Makefile @@ -32,26 +32,24 @@ M_DEPS += axi_ad9643.v M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_ad9643.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/axi_ad9652/Makefile b/library/axi_ad9652/Makefile index 4a06224b9..ea730bfe1 100644 --- a/library/axi_ad9652/Makefile +++ b/library/axi_ad9652/Makefile @@ -30,26 +30,24 @@ M_DEPS += axi_ad9652.v M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_ad9652.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/axi_ad9671/Makefile b/library/axi_ad9671/Makefile index 05ae04afc..df4c31f47 100644 --- a/library/axi_ad9671/Makefile +++ b/library/axi_ad9671/Makefile @@ -27,26 +27,24 @@ M_DEPS += axi_ad9671.v M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_ad9671.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/axi_ad9680/Makefile b/library/axi_ad9680/Makefile index 43b6e6819..dbf6b7edb 100644 --- a/library/axi_ad9680/Makefile +++ b/library/axi_ad9680/Makefile @@ -27,26 +27,24 @@ M_DEPS += axi_ad9680_constr.xdc M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_ad9680.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/axi_ad9739a/Makefile b/library/axi_ad9739a/Makefile index 0b8bf2d02..53354c20e 100644 --- a/library/axi_ad9739a/Makefile +++ b/library/axi_ad9739a/Makefile @@ -29,26 +29,24 @@ M_DEPS += axi_ad9739a.v M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_ad9739a.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/axi_adcfifo/Makefile b/library/axi_adcfifo/Makefile index 48caebbc9..91db65830 100644 --- a/library/axi_adcfifo/Makefile +++ b/library/axi_adcfifo/Makefile @@ -21,26 +21,24 @@ M_DEPS += axi_adcfifo_constr.xdc M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_adcfifo.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/axi_clkgen/Makefile b/library/axi_clkgen/Makefile index 02021553e..fff039871 100644 --- a/library/axi_clkgen/Makefile +++ b/library/axi_clkgen/Makefile @@ -17,26 +17,24 @@ M_DEPS += axi_clkgen.v M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_clkgen.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/axi_dmac/Makefile b/library/axi_dmac/Makefile index 5b7ab7e7f..e9fe78719 100644 --- a/library/axi_dmac/Makefile +++ b/library/axi_dmac/Makefile @@ -30,26 +30,24 @@ M_DEPS += axi_dmac_constr.xdc M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_dmac.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/axi_hdmi_rx/Makefile b/library/axi_hdmi_rx/Makefile index d32fd3e6e..e6f9813cd 100644 --- a/library/axi_hdmi_rx/Makefile +++ b/library/axi_hdmi_rx/Makefile @@ -25,26 +25,24 @@ M_DEPS += axi_hdmi_rx_core.v M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_hdmi_rx.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/axi_hdmi_tx/Makefile b/library/axi_hdmi_tx/Makefile index 86c9148c5..398684d2a 100644 --- a/library/axi_hdmi_tx/Makefile +++ b/library/axi_hdmi_tx/Makefile @@ -28,26 +28,24 @@ M_DEPS += axi_hdmi_tx_constr.xdc M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_hdmi_tx.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/axi_i2s_adi/Makefile b/library/axi_i2s_adi/Makefile index 3cc2a9960..44553e839 100644 --- a/library/axi_i2s_adi/Makefile +++ b/library/axi_i2s_adi/Makefile @@ -19,29 +19,29 @@ M_DEPS += i2s_tx.vhd M_DEPS += i2s_clkgen.vhd M_DEPS += fifo_synchronizer.vhd M_DEPS += axi_i2s_adi.vhd +M_DEPS += axi_i2s_adi_constr.xdc +M_DEPS += M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_i2s_adi.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/axi_jesd_gt/Makefile b/library/axi_jesd_gt/Makefile index a325bee2d..3cd8bf682 100644 --- a/library/axi_jesd_gt/Makefile +++ b/library/axi_jesd_gt/Makefile @@ -23,26 +23,24 @@ M_DEPS += axi_jesd_gt_constr.xdc M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_jesd_gt.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/axi_mc_controller/Makefile b/library/axi_mc_controller/Makefile index 2d50fb300..069f52ffa 100644 --- a/library/axi_mc_controller/Makefile +++ b/library/axi_mc_controller/Makefile @@ -26,26 +26,24 @@ M_DEPS += axi_mc_controller.v M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_mc_controller.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/axi_mc_current_monitor/Makefile b/library/axi_mc_current_monitor/Makefile index 57e7ecf3d..4e3dda75a 100644 --- a/library/axi_mc_current_monitor/Makefile +++ b/library/axi_mc_current_monitor/Makefile @@ -23,26 +23,24 @@ M_DEPS += axi_mc_current_monitor.v M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_mc_current_monitor.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/axi_mc_speed/Makefile b/library/axi_mc_speed/Makefile index fd874ccce..07b3ebbfe 100644 --- a/library/axi_mc_speed/Makefile +++ b/library/axi_mc_speed/Makefile @@ -24,26 +24,24 @@ M_DEPS += axi_mc_speed.v M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_mc_speed.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/axi_spdif_tx/Makefile b/library/axi_spdif_tx/Makefile index e90351b3c..bf7a33117 100644 --- a/library/axi_spdif_tx/Makefile +++ b/library/axi_spdif_tx/Makefile @@ -19,26 +19,24 @@ M_DEPS += axi_spdif_tx_constr.xdc M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: axi_spdif_tx.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/controllerperipheralhdladi_pcore/Makefile b/library/controllerperipheralhdladi_pcore/Makefile index 3f39717e9..86c8eaaa4 100644 --- a/library/controllerperipheralhdladi_pcore/Makefile +++ b/library/controllerperipheralhdladi_pcore/Makefile @@ -114,26 +114,24 @@ M_DEPS += controllerperipheralhdladi_pcore.v M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: controllerperipheralhdladi_pcore.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/util_adc_pack/Makefile b/library/util_adc_pack/Makefile index f3611c550..6bb0658f2 100644 --- a/library/util_adc_pack/Makefile +++ b/library/util_adc_pack/Makefile @@ -12,26 +12,24 @@ M_DEPS += util_adc_pack.v M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: util_adc_pack.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/util_adcfifo/Makefile b/library/util_adcfifo/Makefile index ea869a623..c1d4d0865 100644 --- a/library/util_adcfifo/Makefile +++ b/library/util_adcfifo/Makefile @@ -15,26 +15,24 @@ M_DEPS += util_adcfifo_constr.xdc M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: util_adcfifo.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/util_axis_fifo/Makefile b/library/util_axis_fifo/Makefile index bef3df8f9..3ddf89aba 100644 --- a/library/util_axis_fifo/Makefile +++ b/library/util_axis_fifo/Makefile @@ -18,26 +18,24 @@ M_DEPS += M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: util_axis_fifo.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/util_axis_resize/Makefile b/library/util_axis_resize/Makefile index 8b18a71cb..97fd2f061 100644 --- a/library/util_axis_resize/Makefile +++ b/library/util_axis_resize/Makefile @@ -13,26 +13,24 @@ M_DEPS += M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: util_axis_resize.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/util_bsplit/Makefile b/library/util_bsplit/Makefile index 3b89670f7..f411a00e7 100644 --- a/library/util_bsplit/Makefile +++ b/library/util_bsplit/Makefile @@ -13,26 +13,24 @@ M_DEPS += util_bsplit_constr.xdc M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: util_bsplit.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/util_ccat/Makefile b/library/util_ccat/Makefile index dd8718960..d4b2f97fb 100644 --- a/library/util_ccat/Makefile +++ b/library/util_ccat/Makefile @@ -13,26 +13,24 @@ M_DEPS += util_ccat_constr.xdc M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: util_ccat.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/util_cpack/Makefile b/library/util_cpack/Makefile index 76ca881e7..02c8b0cfc 100644 --- a/library/util_cpack/Makefile +++ b/library/util_cpack/Makefile @@ -15,26 +15,24 @@ M_DEPS += util_cpack_constr.xdc M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: util_cpack.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/util_dac_unpack/Makefile b/library/util_dac_unpack/Makefile index 3c8893e12..87fe8fceb 100644 --- a/library/util_dac_unpack/Makefile +++ b/library/util_dac_unpack/Makefile @@ -12,26 +12,24 @@ M_DEPS += util_dac_unpack.v M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: util_dac_unpack.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/util_dacfifo/Makefile b/library/util_dacfifo/Makefile index 1691caae6..0cf20b4bd 100644 --- a/library/util_dacfifo/Makefile +++ b/library/util_dacfifo/Makefile @@ -13,26 +13,24 @@ M_DEPS += util_dacfifo.v M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: util_dacfifo.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/util_gmii_to_rgmii/Makefile b/library/util_gmii_to_rgmii/Makefile index e373f4e52..5ba19fba1 100644 --- a/library/util_gmii_to_rgmii/Makefile +++ b/library/util_gmii_to_rgmii/Makefile @@ -13,26 +13,24 @@ M_DEPS += util_gmii_to_rgmii.v M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: util_gmii_to_rgmii.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/util_i2c_mixer/Makefile b/library/util_i2c_mixer/Makefile index 4d0fb45de..20e5a8f0c 100644 --- a/library/util_i2c_mixer/Makefile +++ b/library/util_i2c_mixer/Makefile @@ -12,26 +12,24 @@ M_DEPS += util_i2c_mixer.vhd M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: util_i2c_mixer.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/util_pmod_adc/Makefile b/library/util_pmod_adc/Makefile index 456f7dd01..c9aa2678e 100644 --- a/library/util_pmod_adc/Makefile +++ b/library/util_pmod_adc/Makefile @@ -13,26 +13,24 @@ M_DEPS += M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: util_pmod_adc.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/util_pmod_fmeter/Makefile b/library/util_pmod_fmeter/Makefile index d5e7077c5..ec1445ae3 100644 --- a/library/util_pmod_fmeter/Makefile +++ b/library/util_pmod_fmeter/Makefile @@ -17,26 +17,24 @@ M_DEPS += util_pmod_fmeter_core.v M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: util_pmod_fmeter.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/util_rfifo/Makefile b/library/util_rfifo/Makefile index 47d6d5ca4..eab393fd5 100644 --- a/library/util_rfifo/Makefile +++ b/library/util_rfifo/Makefile @@ -12,26 +12,24 @@ M_DEPS += util_rfifo.v M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: util_rfifo.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/util_upack/Makefile b/library/util_upack/Makefile index 96bf98a07..49a0fcda7 100644 --- a/library/util_upack/Makefile +++ b/library/util_upack/Makefile @@ -15,26 +15,24 @@ M_DEPS += util_upack_constr.xdc M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: util_upack.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/library/util_wfifo/Makefile b/library/util_wfifo/Makefile index b9f222b51..694759528 100644 --- a/library/util_wfifo/Makefile +++ b/library/util_wfifo/Makefile @@ -13,26 +13,24 @@ M_DEPS += util_wfifo.v M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name '*.xml' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' `M_FLIST += component.xml +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += component.xml +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += .Xil -.PHONY: all + +.PHONY: all clean clean-all all: util_wfifo.xpr -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) diff --git a/projects/Makefile b/projects/Makefile index 65c689457..4d8e822ef 100644 --- a/projects/Makefile +++ b/projects/Makefile @@ -5,7 +5,7 @@ #################################################################################### #################################################################################### -.PHONY: all +.PHONY: all clean clean_all all: -make -C fmcomms6 all -make -C fmcjesdadc1 all @@ -34,11 +34,34 @@ all: -make -C daq2 all -.PHONY: clean -clean: clean-all +clean: + make -C fmcomms6 clean + make -C fmcjesdadc1 clean + make -C daq1 clean + make -C fmcomms7 clean + make -C cftl_cip clean + make -C adv7511 clean + make -C fmcadc5 clean + make -C daq3 clean + make -C ad9265_fmc clean + make -C ad9434_fmc clean + make -C cftl_std clean + make -C motcon1_fmc clean + make -C fmcadc4 clean + make -C fmcomms5 clean + make -C imageon clean + make -C usdrx1 clean + make -C ad6676evb clean + make -C ad9467_fmc clean + make -C fmcomms2_pr clean + make -C fmcomms2 clean + make -C fmcomms1 clean + make -C ad9739a_fmc clean + make -C fmcadc2 clean + make -C motcon2_fmc clean + make -C daq2 clean -.PHONY: clean-all clean-all: make -C fmcomms6 clean-all make -C fmcjesdadc1 clean-all diff --git a/projects/ad6676evb/Makefile b/projects/ad6676evb/Makefile index 15ad7f2c8..67ff93a33 100644 --- a/projects/ad6676evb/Makefile +++ b/projects/ad6676evb/Makefile @@ -5,17 +5,17 @@ #################################################################################### #################################################################################### -.PHONY: all +.PHONY: all clean clean-all all: -make -C vc707 all -make -C zc706 all -.PHONY: clean -clean: clean-all +clean: + make -C vc707 clean + make -C zc706 clean -.PHONY: clean-all clean-all: make -C vc707 clean-all make -C zc706 clean-all diff --git a/projects/ad6676evb/vc707/Makefile b/projects/ad6676evb/vc707/Makefile index c48cc904c..2abf938ac 100644 --- a/projects/ad6676evb/vc707/Makefile +++ b/projects/ad6676evb/vc707/Makefile @@ -19,27 +19,28 @@ M_DEPS += ../../../library/axi_ad6676/axi_ad6676.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib ad6676evb_vc707.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_dmac clean make -C ../../../library/util_bsplit clean @@ -51,7 +52,6 @@ ad6676evb_vc707.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> ad6676evb_vc707_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_dmac diff --git a/projects/ad6676evb/zc706/Makefile b/projects/ad6676evb/zc706/Makefile index b501a8994..4395594b6 100644 --- a/projects/ad6676evb/zc706/Makefile +++ b/projects/ad6676evb/zc706/Makefile @@ -22,27 +22,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib ad6676evb_zc706.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_dmac clean make -C ../../../library/axi_spdif_tx clean @@ -57,7 +58,6 @@ ad6676evb_zc706.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> ad6676evb_zc706_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_dmac diff --git a/projects/ad9265_fmc/Makefile b/projects/ad9265_fmc/Makefile index 4c8a0eb58..5b866a1ac 100644 --- a/projects/ad9265_fmc/Makefile +++ b/projects/ad9265_fmc/Makefile @@ -5,16 +5,15 @@ #################################################################################### #################################################################################### -.PHONY: all +.PHONY: all clean clean-all all: -make -C zc706 all -.PHONY: clean -clean: clean-all +clean: + make -C zc706 clean -.PHONY: clean-all clean-all: make -C zc706 clean-all diff --git a/projects/ad9265_fmc/zc706/Makefile b/projects/ad9265_fmc/zc706/Makefile index c84625d34..42700830e 100644 --- a/projects/ad9265_fmc/zc706/Makefile +++ b/projects/ad9265_fmc/zc706/Makefile @@ -22,27 +22,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib ad9265_fmc_zc706.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/util_wfifo clean make -C ../../../library/axi_dmac clean make -C ../../../library/axi_spdif_tx clean @@ -56,7 +57,6 @@ ad9265_fmc_zc706.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> ad9265_fmc_zc706_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/util_wfifo make -C ../../../library/axi_dmac diff --git a/projects/ad9434_fmc/Makefile b/projects/ad9434_fmc/Makefile index 4c8a0eb58..5b866a1ac 100644 --- a/projects/ad9434_fmc/Makefile +++ b/projects/ad9434_fmc/Makefile @@ -5,16 +5,15 @@ #################################################################################### #################################################################################### -.PHONY: all +.PHONY: all clean clean-all all: -make -C zc706 all -.PHONY: clean -clean: clean-all +clean: + make -C zc706 clean -.PHONY: clean-all clean-all: make -C zc706 clean-all diff --git a/projects/ad9434_fmc/zc706/Makefile b/projects/ad9434_fmc/zc706/Makefile index a2179b810..e931e7646 100644 --- a/projects/ad9434_fmc/zc706/Makefile +++ b/projects/ad9434_fmc/zc706/Makefile @@ -20,27 +20,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib ad9434_fmc_zc706.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_dmac clean make -C ../../../library/axi_ad9434 clean make -C ../../../library/axi_spdif_tx clean @@ -53,7 +54,6 @@ ad9434_fmc_zc706.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> ad9434_fmc_zc706_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_dmac make -C ../../../library/axi_ad9434 diff --git a/projects/ad9467_fmc/Makefile b/projects/ad9467_fmc/Makefile index 1a6f648ce..887582b9c 100644 --- a/projects/ad9467_fmc/Makefile +++ b/projects/ad9467_fmc/Makefile @@ -5,17 +5,17 @@ #################################################################################### #################################################################################### -.PHONY: all +.PHONY: all clean clean-all all: -make -C kc705 all -make -C zed all -.PHONY: clean -clean: clean-all +clean: + make -C kc705 clean + make -C zed clean -.PHONY: clean-all clean-all: make -C kc705 clean-all make -C zed clean-all diff --git a/projects/ad9467_fmc/kc705/Makefile b/projects/ad9467_fmc/kc705/Makefile index d854b18c9..c3c4c0a2a 100644 --- a/projects/ad9467_fmc/kc705/Makefile +++ b/projects/ad9467_fmc/kc705/Makefile @@ -17,27 +17,28 @@ M_DEPS += ../../../library/axi_ad9467/axi_ad9467.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib ad9467_fmc_kc705.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_dmac clean make -C ../../../library/axi_ad9467 clean @@ -47,7 +48,6 @@ ad9467_fmc_kc705.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> ad9467_fmc_kc705_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_dmac make -C ../../../library/axi_ad9467 diff --git a/projects/ad9467_fmc/zed/Makefile b/projects/ad9467_fmc/zed/Makefile index 3a69d79ff..b5a83342a 100644 --- a/projects/ad9467_fmc/zed/Makefile +++ b/projects/ad9467_fmc/zed/Makefile @@ -22,27 +22,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib ad9467_fmc_zed.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/util_i2c_mixer clean make -C ../../../library/axi_dmac clean make -C ../../../library/axi_ad9467 clean @@ -57,7 +58,6 @@ ad9467_fmc_zed.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> ad9467_fmc_zed_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/util_i2c_mixer make -C ../../../library/axi_dmac diff --git a/projects/ad9739a_fmc/Makefile b/projects/ad9739a_fmc/Makefile index 4c8a0eb58..5b866a1ac 100644 --- a/projects/ad9739a_fmc/Makefile +++ b/projects/ad9739a_fmc/Makefile @@ -5,16 +5,15 @@ #################################################################################### #################################################################################### -.PHONY: all +.PHONY: all clean clean-all all: -make -C zc706 all -.PHONY: clean -clean: clean-all +clean: + make -C zc706 clean -.PHONY: clean-all clean-all: make -C zc706 clean-all diff --git a/projects/ad9739a_fmc/zc706/Makefile b/projects/ad9739a_fmc/zc706/Makefile index 7abe0c707..c3fb90f30 100644 --- a/projects/ad9739a_fmc/zc706/Makefile +++ b/projects/ad9739a_fmc/zc706/Makefile @@ -20,27 +20,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib ad9739a_fmc_zc706.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_ad9739a clean make -C ../../../library/axi_dmac clean make -C ../../../library/axi_spdif_tx clean @@ -53,7 +54,6 @@ ad9739a_fmc_zc706.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> ad9739a_fmc_zc706_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_ad9739a make -C ../../../library/axi_dmac diff --git a/projects/adv7511/Makefile b/projects/adv7511/Makefile index a20d5082d..5fbd8f605 100644 --- a/projects/adv7511/Makefile +++ b/projects/adv7511/Makefile @@ -5,7 +5,7 @@ #################################################################################### #################################################################################### -.PHONY: all +.PHONY: all clean clean-all all: -make -C ac701 all -make -C kc705 all @@ -17,11 +17,17 @@ all: -make -C zed all -.PHONY: clean -clean: clean-all +clean: + make -C ac701 clean + make -C kc705 clean + make -C kcu105 clean + make -C mitx045 clean + make -C vc707 clean + make -C zc702 clean + make -C zc706 clean + make -C zed clean -.PHONY: clean-all clean-all: make -C ac701 clean-all make -C kc705 clean-all diff --git a/projects/adv7511/ac701/Makefile b/projects/adv7511/ac701/Makefile index 61960228e..4e634f322 100644 --- a/projects/adv7511/ac701/Makefile +++ b/projects/adv7511/ac701/Makefile @@ -18,27 +18,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib adv7511_ac701.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_hdmi_tx clean @@ -49,7 +50,6 @@ adv7511_ac701.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> adv7511_ac701_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_clkgen diff --git a/projects/adv7511/kc705/Makefile b/projects/adv7511/kc705/Makefile index 262daae57..9761c9a73 100644 --- a/projects/adv7511/kc705/Makefile +++ b/projects/adv7511/kc705/Makefile @@ -18,27 +18,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib adv7511_kc705.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_hdmi_tx clean @@ -49,7 +50,6 @@ adv7511_kc705.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> adv7511_kc705_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_clkgen diff --git a/projects/adv7511/kcu105/Makefile b/projects/adv7511/kcu105/Makefile index 25d50b2a8..741e91391 100644 --- a/projects/adv7511/kcu105/Makefile +++ b/projects/adv7511/kcu105/Makefile @@ -19,27 +19,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib adv7511_kcu105.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_hdmi_tx clean @@ -50,7 +51,6 @@ adv7511_kcu105.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> adv7511_kcu105_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_clkgen diff --git a/projects/adv7511/mitx045/Makefile b/projects/adv7511/mitx045/Makefile index d9cc6f250..35a8313e7 100644 --- a/projects/adv7511/mitx045/Makefile +++ b/projects/adv7511/mitx045/Makefile @@ -18,27 +18,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib adv7511_mitx045.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_i2s_adi clean make -C ../../../library/axi_clkgen clean @@ -50,7 +51,6 @@ adv7511_mitx045.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> adv7511_mitx045_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_i2s_adi diff --git a/projects/adv7511/vc707/Makefile b/projects/adv7511/vc707/Makefile index 311513578..d324f6a6c 100644 --- a/projects/adv7511/vc707/Makefile +++ b/projects/adv7511/vc707/Makefile @@ -18,27 +18,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib adv7511_vc707.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_hdmi_tx clean @@ -49,7 +50,6 @@ adv7511_vc707.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> adv7511_vc707_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_clkgen diff --git a/projects/adv7511/zc702/Makefile b/projects/adv7511/zc702/Makefile index f6e4dc46e..7fb3e787b 100644 --- a/projects/adv7511/zc702/Makefile +++ b/projects/adv7511/zc702/Makefile @@ -17,27 +17,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib adv7511_zc702.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_hdmi_tx clean @@ -48,7 +49,6 @@ adv7511_zc702.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> adv7511_zc702_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_clkgen diff --git a/projects/adv7511/zc706/Makefile b/projects/adv7511/zc706/Makefile index bd9382773..ffe567bbb 100644 --- a/projects/adv7511/zc706/Makefile +++ b/projects/adv7511/zc706/Makefile @@ -17,27 +17,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib adv7511_zc706.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_clkgen clean make -C ../../../library/axi_hdmi_tx clean @@ -48,7 +49,6 @@ adv7511_zc706.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> adv7511_zc706_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_spdif_tx make -C ../../../library/axi_clkgen diff --git a/projects/adv7511/zed/Makefile b/projects/adv7511/zed/Makefile index f457e8b44..bb4b3a33b 100644 --- a/projects/adv7511/zed/Makefile +++ b/projects/adv7511/zed/Makefile @@ -19,27 +19,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib adv7511_zed.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/util_i2c_mixer clean make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_i2s_adi clean @@ -52,7 +53,6 @@ adv7511_zed.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> adv7511_zed_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/util_i2c_mixer make -C ../../../library/axi_spdif_tx diff --git a/projects/cftl_cip/Makefile b/projects/cftl_cip/Makefile index a37bcfbfc..141f88d2a 100644 --- a/projects/cftl_cip/Makefile +++ b/projects/cftl_cip/Makefile @@ -5,16 +5,15 @@ #################################################################################### #################################################################################### -.PHONY: all +.PHONY: all clean clean-all all: -make -C zed all -.PHONY: clean -clean: clean-all +clean: + make -C zed clean -.PHONY: clean-all clean-all: make -C zed clean-all diff --git a/projects/cftl_cip/zed/Makefile b/projects/cftl_cip/zed/Makefile index 7b5cb8f03..39fc72237 100644 --- a/projects/cftl_cip/zed/Makefile +++ b/projects/cftl_cip/zed/Makefile @@ -23,27 +23,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib cftl_cip_zed.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/util_i2c_mixer clean make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_dmac clean @@ -59,7 +60,6 @@ cftl_cip_zed.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> cftl_cip_zed_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/util_i2c_mixer make -C ../../../library/axi_spdif_tx diff --git a/projects/cftl_std/Makefile b/projects/cftl_std/Makefile index a37bcfbfc..141f88d2a 100644 --- a/projects/cftl_std/Makefile +++ b/projects/cftl_std/Makefile @@ -5,16 +5,15 @@ #################################################################################### #################################################################################### -.PHONY: all +.PHONY: all clean clean-all all: -make -C zed all -.PHONY: clean -clean: clean-all +clean: + make -C zed clean -.PHONY: clean-all clean-all: make -C zed clean-all diff --git a/projects/cftl_std/zed/Makefile b/projects/cftl_std/zed/Makefile index 56ce8fc03..4b6421566 100644 --- a/projects/cftl_std/zed/Makefile +++ b/projects/cftl_std/zed/Makefile @@ -20,27 +20,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib cftl_std_zed.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/util_i2c_mixer clean make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_i2s_adi clean @@ -53,7 +54,6 @@ cftl_std_zed.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> cftl_std_zed_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/util_i2c_mixer make -C ../../../library/axi_spdif_tx diff --git a/projects/daq1/Makefile b/projects/daq1/Makefile index 4c8a0eb58..5b866a1ac 100644 --- a/projects/daq1/Makefile +++ b/projects/daq1/Makefile @@ -5,16 +5,15 @@ #################################################################################### #################################################################################### -.PHONY: all +.PHONY: all clean clean-all all: -make -C zc706 all -.PHONY: clean -clean: clean-all +clean: + make -C zc706 clean -.PHONY: clean-all clean-all: make -C zc706 clean-all diff --git a/projects/daq1/zc706/Makefile b/projects/daq1/zc706/Makefile index 6a5454e7f..34c58f160 100644 --- a/projects/daq1/zc706/Makefile +++ b/projects/daq1/zc706/Makefile @@ -23,27 +23,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib daq1_zc706.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_jesd_gt clean make -C ../../../library/util_bsplit clean make -C ../../../library/axi_ad9122 clean @@ -59,7 +60,6 @@ daq1_zc706.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> daq1_zc706_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_jesd_gt make -C ../../../library/util_bsplit diff --git a/projects/daq2/Makefile b/projects/daq2/Makefile index b2ce3ce1c..c582f8e2d 100644 --- a/projects/daq2/Makefile +++ b/projects/daq2/Makefile @@ -5,7 +5,7 @@ #################################################################################### #################################################################################### -.PHONY: all +.PHONY: all clean clean-all all: -make -C kc705 all -make -C kcu105 all @@ -13,11 +13,13 @@ all: -make -C zc706 all -.PHONY: clean -clean: clean-all +clean: + make -C kc705 clean + make -C kcu105 clean + make -C vc707 clean + make -C zc706 clean -.PHONY: clean-all clean-all: make -C kc705 clean-all make -C kcu105 clean-all diff --git a/projects/daq2/kc705/Makefile b/projects/daq2/kc705/Makefile index 6d99e5ddc..ed4004e14 100644 --- a/projects/daq2/kc705/Makefile +++ b/projects/daq2/kc705/Makefile @@ -23,27 +23,28 @@ M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib daq2_kc705.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_jesd_gt clean make -C ../../../library/util_bsplit clean make -C ../../../library/axi_ad9680 clean @@ -58,7 +59,6 @@ daq2_kc705.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> daq2_kc705_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_jesd_gt make -C ../../../library/util_bsplit diff --git a/projects/daq2/kcu105/Makefile b/projects/daq2/kcu105/Makefile index 49adef939..62d0ff854 100644 --- a/projects/daq2/kcu105/Makefile +++ b/projects/daq2/kcu105/Makefile @@ -24,27 +24,28 @@ M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib daq2_kcu105.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_jesd_gt clean make -C ../../../library/util_bsplit clean make -C ../../../library/axi_ad9680 clean @@ -59,7 +60,6 @@ daq2_kcu105.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> daq2_kcu105_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_jesd_gt make -C ../../../library/util_bsplit diff --git a/projects/daq2/vc707/Makefile b/projects/daq2/vc707/Makefile index 65afb684f..adc4ec403 100644 --- a/projects/daq2/vc707/Makefile +++ b/projects/daq2/vc707/Makefile @@ -23,27 +23,28 @@ M_DEPS += ../../../library/axi_ad9144/axi_ad9144.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib daq2_vc707.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_jesd_gt clean make -C ../../../library/util_bsplit clean make -C ../../../library/axi_ad9680 clean @@ -58,7 +59,6 @@ daq2_vc707.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> daq2_vc707_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_jesd_gt make -C ../../../library/util_bsplit diff --git a/projects/daq2/zc706/Makefile b/projects/daq2/zc706/Makefile index d2ba75ec9..2e6a26ea2 100644 --- a/projects/daq2/zc706/Makefile +++ b/projects/daq2/zc706/Makefile @@ -26,27 +26,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib daq2_zc706.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_jesd_gt clean make -C ../../../library/util_bsplit clean make -C ../../../library/axi_ad9680 clean @@ -64,7 +65,6 @@ daq2_zc706.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> daq2_zc706_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_jesd_gt make -C ../../../library/util_bsplit diff --git a/projects/daq3/Makefile b/projects/daq3/Makefile index 4c8a0eb58..5b866a1ac 100644 --- a/projects/daq3/Makefile +++ b/projects/daq3/Makefile @@ -5,16 +5,15 @@ #################################################################################### #################################################################################### -.PHONY: all +.PHONY: all clean clean-all all: -make -C zc706 all -.PHONY: clean -clean: clean-all +clean: + make -C zc706 clean -.PHONY: clean-all clean-all: make -C zc706 clean-all diff --git a/projects/daq3/zc706/Makefile b/projects/daq3/zc706/Makefile index 10ac3df45..f0538a77f 100644 --- a/projects/daq3/zc706/Makefile +++ b/projects/daq3/zc706/Makefile @@ -26,27 +26,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib daq3_zc706.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_jesd_gt clean make -C ../../../library/util_bsplit clean make -C ../../../library/axi_ad9680 clean @@ -64,7 +65,6 @@ daq3_zc706.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> daq3_zc706_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_jesd_gt make -C ../../../library/util_bsplit diff --git a/projects/fmcadc2/Makefile b/projects/fmcadc2/Makefile index 15ad7f2c8..67ff93a33 100644 --- a/projects/fmcadc2/Makefile +++ b/projects/fmcadc2/Makefile @@ -5,17 +5,17 @@ #################################################################################### #################################################################################### -.PHONY: all +.PHONY: all clean clean-all all: -make -C vc707 all -make -C zc706 all -.PHONY: clean -clean: clean-all +clean: + make -C vc707 clean + make -C zc706 clean -.PHONY: clean-all clean-all: make -C vc707 clean-all make -C zc706 clean-all diff --git a/projects/fmcadc2/vc707/Makefile b/projects/fmcadc2/vc707/Makefile index af05e20fa..0e1a3adb5 100644 --- a/projects/fmcadc2/vc707/Makefile +++ b/projects/fmcadc2/vc707/Makefile @@ -21,27 +21,28 @@ M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib fmcadc2_vc707.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_ad9625 clean make -C ../../../library/util_bsplit clean @@ -54,7 +55,6 @@ fmcadc2_vc707.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> fmcadc2_vc707_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_ad9625 diff --git a/projects/fmcadc2/zc706/Makefile b/projects/fmcadc2/zc706/Makefile index 5bd6e386e..4a7047f2c 100644 --- a/projects/fmcadc2/zc706/Makefile +++ b/projects/fmcadc2/zc706/Makefile @@ -24,27 +24,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib fmcadc2_zc706.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_ad9625 clean make -C ../../../library/util_bsplit clean @@ -60,7 +61,6 @@ fmcadc2_zc706.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> fmcadc2_zc706_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_ad9625 diff --git a/projects/fmcadc4/Makefile b/projects/fmcadc4/Makefile index 4c8a0eb58..5b866a1ac 100644 --- a/projects/fmcadc4/Makefile +++ b/projects/fmcadc4/Makefile @@ -5,16 +5,15 @@ #################################################################################### #################################################################################### -.PHONY: all +.PHONY: all clean clean-all all: -make -C zc706 all -.PHONY: clean -clean: clean-all +clean: + make -C zc706 clean -.PHONY: clean-all clean-all: make -C zc706 clean-all diff --git a/projects/fmcadc4/zc706/Makefile b/projects/fmcadc4/zc706/Makefile index 182c957df..ce5c2086e 100644 --- a/projects/fmcadc4/zc706/Makefile +++ b/projects/fmcadc4/zc706/Makefile @@ -24,27 +24,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib fmcadc4_zc706.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_jesd_gt clean make -C ../../../library/util_bsplit clean make -C ../../../library/axi_adcfifo clean @@ -60,7 +61,6 @@ fmcadc4_zc706.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> fmcadc4_zc706_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_jesd_gt make -C ../../../library/util_bsplit diff --git a/projects/fmcadc5/Makefile b/projects/fmcadc5/Makefile index 43d6c17dd..c361ef020 100644 --- a/projects/fmcadc5/Makefile +++ b/projects/fmcadc5/Makefile @@ -5,16 +5,15 @@ #################################################################################### #################################################################################### -.PHONY: all +.PHONY: all clean clean-all all: -make -C vc707 all -.PHONY: clean -clean: clean-all +clean: + make -C vc707 clean -.PHONY: clean-all clean-all: make -C vc707 clean-all diff --git a/projects/fmcadc5/vc707/Makefile b/projects/fmcadc5/vc707/Makefile index dcab7603a..4ba079ee4 100644 --- a/projects/fmcadc5/vc707/Makefile +++ b/projects/fmcadc5/vc707/Makefile @@ -21,27 +21,28 @@ M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib fmcadc5_vc707.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_jesd_gt clean make -C ../../../library/axi_ad9625 clean make -C ../../../library/util_bsplit clean @@ -54,7 +55,6 @@ fmcadc5_vc707.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> fmcadc5_vc707_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_jesd_gt make -C ../../../library/axi_ad9625 diff --git a/projects/fmcjesdadc1/Makefile b/projects/fmcjesdadc1/Makefile index dd78cea63..1f822eee3 100644 --- a/projects/fmcjesdadc1/Makefile +++ b/projects/fmcjesdadc1/Makefile @@ -5,7 +5,7 @@ #################################################################################### #################################################################################### -.PHONY: all +.PHONY: all clean clean-all all: -make -C a5gt all -make -C a5soc all @@ -14,11 +14,14 @@ all: -make -C zc706 all -.PHONY: clean -clean: clean-all +clean: + make -C a5gt clean + make -C a5soc clean + make -C kc705 clean + make -C vc707 clean + make -C zc706 clean -.PHONY: clean-all clean-all: make -C a5gt clean-all make -C a5soc clean-all diff --git a/projects/fmcjesdadc1/a5gt/Makefile b/projects/fmcjesdadc1/a5gt/Makefile index 686ae79f9..626326ea4 100644 --- a/projects/fmcjesdadc1/a5gt/Makefile +++ b/projects/fmcjesdadc1/a5gt/Makefile @@ -20,7 +20,7 @@ M_DEPS += ../../../library/common/sync_bits.v M_DEPS += ../../../library/common/sync_gray.v M_DEPS += ../../../library/common/up_axi.v M_DEPS += ../../../library/util_axis_resize/util_axis_resize.v -M_DEPS += ../../../library/util_axis_fifo/util_axis_fifofifo.v +M_DEPS += ../../../library/util_axis_fifo/util_axis_fifo.v M_DEPS += ../../../library/util_axis_fifo/address_gray.v M_DEPS += ../../../library/util_axis_fifo/address_gray_pipelined.v M_DEPS += ../../../library/util_axis_fifo/address_sync.v @@ -64,33 +64,44 @@ M_DEPS += ../../../library/axi_ad9250/axi_ad9250_alt.v M_ALTERA := quartus_sh --64bit -t -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.sdc' -M_FLIST += -not -name '*.qsys' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST += *.log +M_FLIST += *_INFO.txt +M_FLIST += *_dump.txt +M_FLIST += db +M_FLIST += *.asm.rpt +M_FLIST += *.done +M_FLIST += *.eda.rpt +M_FLIST += *.fit.* +M_FLIST += *.map.* +M_FLIST += *.sta.* +M_FLIST += *.qsf +M_FLIST += *.qpf +M_FLIST += *.qws +M_FLIST += *.sof +M_FLIST += hc_output +M_FLIST += hps_isw_handoff +M_FLIST += hps_sdram_*.csv +M_FLIST += *ddr3_*.csv +M_FLIST += incremental_db +M_FLIST += reconfig_mif +M_FLIST += *.sopcinfo +M_FLIST += *.jdi +M_FLIST += *.pin -.PHONY: all + +.PHONY: all clean clean-all all: fmcjesdadc1.qpf -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) - fmcjesdadc1.qpf: $(M_DEPS) rm -rf $(M_FLIST) $(M_ALTERA) system_project.tcl >> fmcjesdadc1_quartus.log 2>&1 diff --git a/projects/fmcjesdadc1/a5soc/Makefile b/projects/fmcjesdadc1/a5soc/Makefile index 05a6e5f7b..06342ca17 100644 --- a/projects/fmcjesdadc1/a5soc/Makefile +++ b/projects/fmcjesdadc1/a5soc/Makefile @@ -20,7 +20,7 @@ M_DEPS += ../../../library/common/sync_bits.v M_DEPS += ../../../library/common/sync_gray.v M_DEPS += ../../../library/common/up_axi.v M_DEPS += ../../../library/util_axis_resize/util_axis_resize.v -M_DEPS += ../../../library/util_axis_fifo/util_axis_fifofifo.v +M_DEPS += ../../../library/util_axis_fifo/util_axis_fifo.v M_DEPS += ../../../library/util_axis_fifo/address_gray.v M_DEPS += ../../../library/util_axis_fifo/address_gray_pipelined.v M_DEPS += ../../../library/util_axis_fifo/address_sync.v @@ -64,33 +64,44 @@ M_DEPS += ../../../library/axi_ad9250/axi_ad9250_alt.v M_ALTERA := quartus_sh --64bit -t -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.sdc' -M_FLIST += -not -name '*.qsys' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST += *.log +M_FLIST += *_INFO.txt +M_FLIST += *_dump.txt +M_FLIST += db +M_FLIST += *.asm.rpt +M_FLIST += *.done +M_FLIST += *.eda.rpt +M_FLIST += *.fit.* +M_FLIST += *.map.* +M_FLIST += *.sta.* +M_FLIST += *.qsf +M_FLIST += *.qpf +M_FLIST += *.qws +M_FLIST += *.sof +M_FLIST += hc_output +M_FLIST += hps_isw_handoff +M_FLIST += hps_sdram_*.csv +M_FLIST += *ddr3_*.csv +M_FLIST += incremental_db +M_FLIST += reconfig_mif +M_FLIST += *.sopcinfo +M_FLIST += *.jdi +M_FLIST += *.pin -.PHONY: all + +.PHONY: all clean clean-all all: fmcjesdadc1.qpf -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) - fmcjesdadc1.qpf: $(M_DEPS) rm -rf $(M_FLIST) $(M_ALTERA) system_project.tcl >> fmcjesdadc1_quartus.log 2>&1 diff --git a/projects/fmcjesdadc1/kc705/Makefile b/projects/fmcjesdadc1/kc705/Makefile index f545146e0..50c457962 100644 --- a/projects/fmcjesdadc1/kc705/Makefile +++ b/projects/fmcjesdadc1/kc705/Makefile @@ -19,27 +19,28 @@ M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib fmcjesdadc1_kc705.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_jesd_gt clean make -C ../../../library/util_bsplit clean make -C ../../../library/axi_dmac clean @@ -51,7 +52,6 @@ fmcjesdadc1_kc705.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> fmcjesdadc1_kc705_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_jesd_gt make -C ../../../library/util_bsplit diff --git a/projects/fmcjesdadc1/vc707/Makefile b/projects/fmcjesdadc1/vc707/Makefile index 721d3a048..394e7e747 100644 --- a/projects/fmcjesdadc1/vc707/Makefile +++ b/projects/fmcjesdadc1/vc707/Makefile @@ -19,27 +19,28 @@ M_DEPS += ../../../library/axi_ad9250/axi_ad9250.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib fmcjesdadc1_vc707.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_jesd_gt clean make -C ../../../library/util_bsplit clean make -C ../../../library/axi_dmac clean @@ -51,7 +52,6 @@ fmcjesdadc1_vc707.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> fmcjesdadc1_vc707_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_jesd_gt make -C ../../../library/util_bsplit diff --git a/projects/fmcjesdadc1/zc706/Makefile b/projects/fmcjesdadc1/zc706/Makefile index dfbabb485..cfaef57fb 100644 --- a/projects/fmcjesdadc1/zc706/Makefile +++ b/projects/fmcjesdadc1/zc706/Makefile @@ -22,27 +22,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib fmcjesdadc1_zc706.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_jesd_gt clean make -C ../../../library/util_bsplit clean make -C ../../../library/axi_dmac clean @@ -57,7 +58,6 @@ fmcjesdadc1_zc706.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> fmcjesdadc1_zc706_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_jesd_gt make -C ../../../library/util_bsplit diff --git a/projects/fmcomms1/Makefile b/projects/fmcomms1/Makefile index 509820635..82b83fb73 100644 --- a/projects/fmcomms1/Makefile +++ b/projects/fmcomms1/Makefile @@ -5,7 +5,7 @@ #################################################################################### #################################################################################### -.PHONY: all +.PHONY: all clean clean-all all: -make -C ac701 all -make -C kc705 all @@ -15,11 +15,15 @@ all: -make -C zed all -.PHONY: clean -clean: clean-all +clean: + make -C ac701 clean + make -C kc705 clean + make -C vc707 clean + make -C zc702 clean + make -C zc706 clean + make -C zed clean -.PHONY: clean-all clean-all: make -C ac701 clean-all make -C kc705 clean-all diff --git a/projects/fmcomms1/ac701/Makefile b/projects/fmcomms1/ac701/Makefile index db6f287ca..2a6f9d4da 100644 --- a/projects/fmcomms1/ac701/Makefile +++ b/projects/fmcomms1/ac701/Makefile @@ -20,27 +20,28 @@ M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib fmcomms1_ac701.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_ad9122 clean make -C ../../../library/util_wfifo clean make -C ../../../library/axi_dmac clean @@ -52,7 +53,6 @@ fmcomms1_ac701.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> fmcomms1_ac701_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_ad9122 make -C ../../../library/util_wfifo diff --git a/projects/fmcomms1/kc705/Makefile b/projects/fmcomms1/kc705/Makefile index da545dcd6..a88e49be4 100644 --- a/projects/fmcomms1/kc705/Makefile +++ b/projects/fmcomms1/kc705/Makefile @@ -20,27 +20,28 @@ M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib fmcomms1_kc705.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_ad9122 clean make -C ../../../library/util_wfifo clean make -C ../../../library/axi_dmac clean @@ -52,7 +53,6 @@ fmcomms1_kc705.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> fmcomms1_kc705_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_ad9122 make -C ../../../library/util_wfifo diff --git a/projects/fmcomms1/vc707/Makefile b/projects/fmcomms1/vc707/Makefile index dfba88886..d29df93e7 100644 --- a/projects/fmcomms1/vc707/Makefile +++ b/projects/fmcomms1/vc707/Makefile @@ -20,27 +20,28 @@ M_DEPS += ../../../library/axi_ad9643/axi_ad9643.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib fmcomms1_vc707.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_ad9122 clean make -C ../../../library/util_wfifo clean make -C ../../../library/axi_dmac clean @@ -52,7 +53,6 @@ fmcomms1_vc707.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> fmcomms1_vc707_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_ad9122 make -C ../../../library/util_wfifo diff --git a/projects/fmcomms1/zc702/Makefile b/projects/fmcomms1/zc702/Makefile index 8f4ab2e9e..dc8e32fb5 100644 --- a/projects/fmcomms1/zc702/Makefile +++ b/projects/fmcomms1/zc702/Makefile @@ -23,27 +23,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib fmcomms1_zc702.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_ad9122 clean make -C ../../../library/util_wfifo clean make -C ../../../library/axi_dmac clean @@ -58,7 +59,6 @@ fmcomms1_zc702.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> fmcomms1_zc702_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_ad9122 make -C ../../../library/util_wfifo diff --git a/projects/fmcomms1/zc706/Makefile b/projects/fmcomms1/zc706/Makefile index a95794f43..74b64d471 100644 --- a/projects/fmcomms1/zc706/Makefile +++ b/projects/fmcomms1/zc706/Makefile @@ -23,27 +23,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib fmcomms1_zc706.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_ad9122 clean make -C ../../../library/util_wfifo clean make -C ../../../library/axi_dmac clean @@ -58,7 +59,6 @@ fmcomms1_zc706.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> fmcomms1_zc706_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_ad9122 make -C ../../../library/util_wfifo diff --git a/projects/fmcomms1/zed/Makefile b/projects/fmcomms1/zed/Makefile index bff807bb1..47c600950 100644 --- a/projects/fmcomms1/zed/Makefile +++ b/projects/fmcomms1/zed/Makefile @@ -25,27 +25,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib fmcomms1_zed.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/util_i2c_mixer clean make -C ../../../library/axi_ad9122 clean make -C ../../../library/util_wfifo clean @@ -62,7 +63,6 @@ fmcomms1_zed.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> fmcomms1_zed_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/util_i2c_mixer make -C ../../../library/axi_ad9122 diff --git a/projects/fmcomms2/Makefile b/projects/fmcomms2/Makefile index 2de359f80..070b633f2 100644 --- a/projects/fmcomms2/Makefile +++ b/projects/fmcomms2/Makefile @@ -5,7 +5,7 @@ #################################################################################### #################################################################################### -.PHONY: all +.PHONY: all clean clean-all all: -make -C ac701 all -make -C c5soc all @@ -18,11 +18,18 @@ all: -make -C zed all -.PHONY: clean -clean: clean-all +clean: + make -C ac701 clean + make -C c5soc clean + make -C kc705 clean + make -C mitx045 clean + make -C rfsom clean + make -C vc707 clean + make -C zc702 clean + make -C zc706 clean + make -C zed clean -.PHONY: clean-all clean-all: make -C ac701 clean-all make -C c5soc clean-all diff --git a/projects/fmcomms2/ac701/Makefile b/projects/fmcomms2/ac701/Makefile index 4926cd23f..e6c806d5e 100644 --- a/projects/fmcomms2/ac701/Makefile +++ b/projects/fmcomms2/ac701/Makefile @@ -21,27 +21,28 @@ M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib fmcomms2_ac701.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/util_dac_unpack clean make -C ../../../library/util_adc_pack clean make -C ../../../library/util_wfifo clean @@ -54,7 +55,6 @@ fmcomms2_ac701.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> fmcomms2_ac701_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/util_dac_unpack make -C ../../../library/util_adc_pack diff --git a/projects/fmcomms2/c5soc/Makefile b/projects/fmcomms2/c5soc/Makefile index ace32bc52..41e6fb0ae 100644 --- a/projects/fmcomms2/c5soc/Makefile +++ b/projects/fmcomms2/c5soc/Makefile @@ -17,7 +17,7 @@ M_DEPS += ../../../library/common/sync_bits.v M_DEPS += ../../../library/common/sync_gray.v M_DEPS += ../../../library/common/up_axi.v M_DEPS += ../../../library/util_axis_resize/util_axis_resize.v -M_DEPS += ../../../library/util_axis_fifo/util_axis_fifofifo.v +M_DEPS += ../../../library/util_axis_fifo/util_axis_fifo.v M_DEPS += ../../../library/util_axis_fifo/address_gray.v M_DEPS += ../../../library/util_axis_fifo/address_gray_pipelined.v M_DEPS += ../../../library/util_axis_fifo/address_sync.v @@ -61,33 +61,44 @@ M_DEPS += ../../../library/axi_ad9250/axi_ad9250_alt.v M_ALTERA := quartus_sh --64bit -t -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.sdc' -M_FLIST += -not -name '*.qsys' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST += *.log +M_FLIST += *_INFO.txt +M_FLIST += *_dump.txt +M_FLIST += db +M_FLIST += *.asm.rpt +M_FLIST += *.done +M_FLIST += *.eda.rpt +M_FLIST += *.fit.* +M_FLIST += *.map.* +M_FLIST += *.sta.* +M_FLIST += *.qsf +M_FLIST += *.qpf +M_FLIST += *.qws +M_FLIST += *.sof +M_FLIST += hc_output +M_FLIST += hps_isw_handoff +M_FLIST += hps_sdram_*.csv +M_FLIST += *ddr3_*.csv +M_FLIST += incremental_db +M_FLIST += reconfig_mif +M_FLIST += *.sopcinfo +M_FLIST += *.jdi +M_FLIST += *.pin -.PHONY: all + +.PHONY: all clean clean-all all: fmcomms2.qpf -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) - fmcomms2.qpf: $(M_DEPS) rm -rf $(M_FLIST) $(M_ALTERA) system_project.tcl >> fmcomms2_quartus.log 2>&1 diff --git a/projects/fmcomms2/kc705/Makefile b/projects/fmcomms2/kc705/Makefile index 164153adc..5c79ac51a 100644 --- a/projects/fmcomms2/kc705/Makefile +++ b/projects/fmcomms2/kc705/Makefile @@ -21,27 +21,28 @@ M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib fmcomms2_kc705.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/util_dac_unpack clean make -C ../../../library/util_adc_pack clean make -C ../../../library/util_wfifo clean @@ -54,7 +55,6 @@ fmcomms2_kc705.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> fmcomms2_kc705_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/util_dac_unpack make -C ../../../library/util_adc_pack diff --git a/projects/fmcomms2/mitx045/Makefile b/projects/fmcomms2/mitx045/Makefile index 746285735..adeebf661 100644 --- a/projects/fmcomms2/mitx045/Makefile +++ b/projects/fmcomms2/mitx045/Makefile @@ -25,27 +25,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib fmcomms2_mitx045.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/util_dac_unpack clean make -C ../../../library/util_adc_pack clean make -C ../../../library/util_wfifo clean @@ -62,7 +63,6 @@ fmcomms2_mitx045.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> fmcomms2_mitx045_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/util_dac_unpack make -C ../../../library/util_adc_pack diff --git a/projects/fmcomms2/rfsom/Makefile b/projects/fmcomms2/rfsom/Makefile index 6438a86ee..f670d7471 100644 --- a/projects/fmcomms2/rfsom/Makefile +++ b/projects/fmcomms2/rfsom/Makefile @@ -26,27 +26,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib fmcomms2_rfsom.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/util_dac_unpack clean make -C ../../../library/util_adc_pack clean make -C ../../../library/util_wfifo clean @@ -63,7 +64,6 @@ fmcomms2_rfsom.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> fmcomms2_rfsom_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/util_dac_unpack make -C ../../../library/util_adc_pack diff --git a/projects/fmcomms2/vc707/Makefile b/projects/fmcomms2/vc707/Makefile index ae55d00a5..4180084b9 100644 --- a/projects/fmcomms2/vc707/Makefile +++ b/projects/fmcomms2/vc707/Makefile @@ -21,27 +21,28 @@ M_DEPS += ../../../library/axi_ad9361/axi_ad9361.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib fmcomms2_vc707.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/util_dac_unpack clean make -C ../../../library/util_adc_pack clean make -C ../../../library/util_wfifo clean @@ -54,7 +55,6 @@ fmcomms2_vc707.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> fmcomms2_vc707_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/util_dac_unpack make -C ../../../library/util_adc_pack diff --git a/projects/fmcomms2/zc702/Makefile b/projects/fmcomms2/zc702/Makefile index b2a40d998..21a0ba752 100644 --- a/projects/fmcomms2/zc702/Makefile +++ b/projects/fmcomms2/zc702/Makefile @@ -24,27 +24,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib fmcomms2_zc702.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/util_dac_unpack clean make -C ../../../library/util_adc_pack clean make -C ../../../library/util_wfifo clean @@ -60,7 +61,6 @@ fmcomms2_zc702.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> fmcomms2_zc702_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/util_dac_unpack make -C ../../../library/util_adc_pack diff --git a/projects/fmcomms2/zc706/Makefile b/projects/fmcomms2/zc706/Makefile index d4fd3c38e..b679b2a0a 100644 --- a/projects/fmcomms2/zc706/Makefile +++ b/projects/fmcomms2/zc706/Makefile @@ -24,27 +24,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib fmcomms2_zc706.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/util_dac_unpack clean make -C ../../../library/util_adc_pack clean make -C ../../../library/util_wfifo clean @@ -60,7 +61,6 @@ fmcomms2_zc706.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> fmcomms2_zc706_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/util_dac_unpack make -C ../../../library/util_adc_pack diff --git a/projects/fmcomms2/zed/Makefile b/projects/fmcomms2/zed/Makefile index 863620648..358f3b7a9 100644 --- a/projects/fmcomms2/zed/Makefile +++ b/projects/fmcomms2/zed/Makefile @@ -26,27 +26,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib fmcomms2_zed.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/util_i2c_mixer clean make -C ../../../library/util_dac_unpack clean make -C ../../../library/util_adc_pack clean @@ -64,7 +65,6 @@ fmcomms2_zed.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> fmcomms2_zed_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/util_i2c_mixer make -C ../../../library/util_dac_unpack diff --git a/projects/fmcomms2_pr/Makefile b/projects/fmcomms2_pr/Makefile index f459404fd..1e3ae8f00 100644 --- a/projects/fmcomms2_pr/Makefile +++ b/projects/fmcomms2_pr/Makefile @@ -5,17 +5,17 @@ #################################################################################### #################################################################################### -.PHONY: all +.PHONY: all clean clean-all all: -make -C mitx045 all -make -C zc706 all -.PHONY: clean -clean: clean-all +clean: + make -C mitx045 clean + make -C zc706 clean -.PHONY: clean-all clean-all: make -C mitx045 clean-all make -C zc706 clean-all diff --git a/projects/fmcomms2_pr/mitx045/Makefile b/projects/fmcomms2_pr/mitx045/Makefile index 052483ee3..ccb29a926 100644 --- a/projects/fmcomms2_pr/mitx045/Makefile +++ b/projects/fmcomms2_pr/mitx045/Makefile @@ -26,27 +26,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib fmcomms2_pr_mitx045.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/util_dac_unpack clean make -C ../../../library/util_adc_pack clean make -C ../../../library/util_wfifo clean @@ -63,7 +64,6 @@ fmcomms2_pr_mitx045.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> fmcomms2_pr_mitx045_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/util_dac_unpack make -C ../../../library/util_adc_pack diff --git a/projects/fmcomms2_pr/zc706/Makefile b/projects/fmcomms2_pr/zc706/Makefile index d09c3060c..99eeb4426 100644 --- a/projects/fmcomms2_pr/zc706/Makefile +++ b/projects/fmcomms2_pr/zc706/Makefile @@ -25,27 +25,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib fmcomms2_pr_zc706.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/util_dac_unpack clean make -C ../../../library/util_adc_pack clean make -C ../../../library/util_wfifo clean @@ -61,7 +62,6 @@ fmcomms2_pr_zc706.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> fmcomms2_pr_zc706_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/util_dac_unpack make -C ../../../library/util_adc_pack diff --git a/projects/fmcomms5/Makefile b/projects/fmcomms5/Makefile index d34a24502..1aadef09e 100644 --- a/projects/fmcomms5/Makefile +++ b/projects/fmcomms5/Makefile @@ -5,17 +5,17 @@ #################################################################################### #################################################################################### -.PHONY: all +.PHONY: all clean clean-all all: -make -C zc702 all -make -C zc706 all -.PHONY: clean -clean: clean-all +clean: + make -C zc702 clean + make -C zc706 clean -.PHONY: clean-all clean-all: make -C zc702 clean-all make -C zc706 clean-all diff --git a/projects/fmcomms5/zc702/Makefile b/projects/fmcomms5/zc702/Makefile index 60830cf83..17b25c694 100644 --- a/projects/fmcomms5/zc702/Makefile +++ b/projects/fmcomms5/zc702/Makefile @@ -22,27 +22,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib fmcomms5_zc702.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/util_dac_unpack clean make -C ../../../library/util_adc_pack clean make -C ../../../library/axi_dmac clean @@ -57,7 +58,6 @@ fmcomms5_zc702.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> fmcomms5_zc702_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/util_dac_unpack make -C ../../../library/util_adc_pack diff --git a/projects/fmcomms5/zc706/Makefile b/projects/fmcomms5/zc706/Makefile index 97f8b9892..3bb49232d 100644 --- a/projects/fmcomms5/zc706/Makefile +++ b/projects/fmcomms5/zc706/Makefile @@ -22,27 +22,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib fmcomms5_zc706.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/util_dac_unpack clean make -C ../../../library/util_adc_pack clean make -C ../../../library/axi_dmac clean @@ -57,7 +58,6 @@ fmcomms5_zc706.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> fmcomms5_zc706_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/util_dac_unpack make -C ../../../library/util_adc_pack diff --git a/projects/fmcomms6/Makefile b/projects/fmcomms6/Makefile index 4c8a0eb58..5b866a1ac 100644 --- a/projects/fmcomms6/Makefile +++ b/projects/fmcomms6/Makefile @@ -5,16 +5,15 @@ #################################################################################### #################################################################################### -.PHONY: all +.PHONY: all clean clean-all all: -make -C zc706 all -.PHONY: clean -clean: clean-all +clean: + make -C zc706 clean -.PHONY: clean-all clean-all: make -C zc706 clean-all diff --git a/projects/fmcomms6/zc706/Makefile b/projects/fmcomms6/zc706/Makefile index 3624f0c63..786d6e51a 100644 --- a/projects/fmcomms6/zc706/Makefile +++ b/projects/fmcomms6/zc706/Makefile @@ -22,27 +22,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib fmcomms6_zc706.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_ad9652 clean make -C ../../../library/util_wfifo clean make -C ../../../library/axi_dmac clean @@ -56,7 +57,6 @@ fmcomms6_zc706.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> fmcomms6_zc706_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_ad9652 make -C ../../../library/util_wfifo diff --git a/projects/fmcomms7/Makefile b/projects/fmcomms7/Makefile index 4c8a0eb58..5b866a1ac 100644 --- a/projects/fmcomms7/Makefile +++ b/projects/fmcomms7/Makefile @@ -5,16 +5,15 @@ #################################################################################### #################################################################################### -.PHONY: all +.PHONY: all clean clean-all all: -make -C zc706 all -.PHONY: clean -clean: clean-all +clean: + make -C zc706 clean -.PHONY: clean-all clean-all: make -C zc706 clean-all diff --git a/projects/fmcomms7/zc706/Makefile b/projects/fmcomms7/zc706/Makefile index 58ad280fb..52326e123 100644 --- a/projects/fmcomms7/zc706/Makefile +++ b/projects/fmcomms7/zc706/Makefile @@ -26,27 +26,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib fmcomms7_zc706.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_jesd_gt clean make -C ../../../library/util_bsplit clean make -C ../../../library/axi_ad9680 clean @@ -64,7 +65,6 @@ fmcomms7_zc706.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> fmcomms7_zc706_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_jesd_gt make -C ../../../library/util_bsplit diff --git a/projects/imageon/Makefile b/projects/imageon/Makefile index a8e35f751..8c0cfc6f5 100644 --- a/projects/imageon/Makefile +++ b/projects/imageon/Makefile @@ -5,17 +5,17 @@ #################################################################################### #################################################################################### -.PHONY: all +.PHONY: all clean clean-all all: -make -C zc706 all -make -C zed all -.PHONY: clean -clean: clean-all +clean: + make -C zc706 clean + make -C zed clean -.PHONY: clean-all clean-all: make -C zc706 clean-all make -C zed clean-all diff --git a/projects/imageon/zc706/Makefile b/projects/imageon/zc706/Makefile index 95700a1e4..76b688406 100644 --- a/projects/imageon/zc706/Makefile +++ b/projects/imageon/zc706/Makefile @@ -20,27 +20,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib imageon_zc706.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_dmac clean make -C ../../../library/axi_spdif_tx clean make -C ../../../library/axi_clkgen clean @@ -53,7 +54,6 @@ imageon_zc706.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> imageon_zc706_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_dmac make -C ../../../library/axi_spdif_tx diff --git a/projects/imageon/zed/Makefile b/projects/imageon/zed/Makefile index 39725da76..a72071a7e 100644 --- a/projects/imageon/zed/Makefile +++ b/projects/imageon/zed/Makefile @@ -22,27 +22,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib imageon_zed.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/util_i2c_mixer clean make -C ../../../library/axi_dmac clean make -C ../../../library/axi_spdif_tx clean @@ -57,7 +58,6 @@ imageon_zed.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> imageon_zed_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/util_i2c_mixer make -C ../../../library/axi_dmac diff --git a/projects/motcon1_fmc/Makefile b/projects/motcon1_fmc/Makefile index a37bcfbfc..141f88d2a 100644 --- a/projects/motcon1_fmc/Makefile +++ b/projects/motcon1_fmc/Makefile @@ -5,16 +5,15 @@ #################################################################################### #################################################################################### -.PHONY: all +.PHONY: all clean clean-all all: -make -C zed all -.PHONY: clean -clean: clean-all +clean: + make -C zed clean -.PHONY: clean-all clean-all: make -C zed clean-all diff --git a/projects/motcon1_fmc/zed/Makefile b/projects/motcon1_fmc/zed/Makefile index a6dd73794..b45ceb0e8 100644 --- a/projects/motcon1_fmc/zed/Makefile +++ b/projects/motcon1_fmc/zed/Makefile @@ -25,27 +25,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib motcon1_fmc_zed.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/controllerperipheralhdladi_pcore clean make -C ../../../library/axi_mc_speed clean make -C ../../../library/util_i2c_mixer clean @@ -64,7 +65,6 @@ motcon1_fmc_zed.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> motcon1_fmc_zed_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/controllerperipheralhdladi_pcore make -C ../../../library/axi_mc_speed diff --git a/projects/motcon2_fmc/Makefile b/projects/motcon2_fmc/Makefile index a37bcfbfc..141f88d2a 100644 --- a/projects/motcon2_fmc/Makefile +++ b/projects/motcon2_fmc/Makefile @@ -5,16 +5,15 @@ #################################################################################### #################################################################################### -.PHONY: all +.PHONY: all clean clean-all all: -make -C zed all -.PHONY: clean -clean: clean-all +clean: + make -C zed clean -.PHONY: clean-all clean-all: make -C zed clean-all diff --git a/projects/motcon2_fmc/zed/Makefile b/projects/motcon2_fmc/zed/Makefile index 38f92c121..b96a880f9 100644 --- a/projects/motcon2_fmc/zed/Makefile +++ b/projects/motcon2_fmc/zed/Makefile @@ -27,27 +27,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib motcon2_fmc_zed.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/util_cpack clean make -C ../../../library/axi_mc_speed clean make -C ../../../library/util_i2c_mixer clean @@ -67,7 +68,6 @@ motcon2_fmc_zed.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> motcon2_fmc_zed_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/util_cpack make -C ../../../library/axi_mc_speed diff --git a/projects/usdrx1/Makefile b/projects/usdrx1/Makefile index cd6e22be7..0b6d81a09 100644 --- a/projects/usdrx1/Makefile +++ b/projects/usdrx1/Makefile @@ -5,17 +5,17 @@ #################################################################################### #################################################################################### -.PHONY: all +.PHONY: all clean clean-all all: -make -C a5gt all -make -C zc706 all -.PHONY: clean -clean: clean-all +clean: + make -C a5gt clean + make -C zc706 clean -.PHONY: clean-all clean-all: make -C a5gt clean-all make -C zc706 clean-all diff --git a/projects/usdrx1/a5gt/Makefile b/projects/usdrx1/a5gt/Makefile index a8c7f6b78..9d3e9e40d 100644 --- a/projects/usdrx1/a5gt/Makefile +++ b/projects/usdrx1/a5gt/Makefile @@ -20,7 +20,7 @@ M_DEPS += ../../../library/common/sync_bits.v M_DEPS += ../../../library/common/sync_gray.v M_DEPS += ../../../library/common/up_axi.v M_DEPS += ../../../library/util_axis_resize/util_axis_resize.v -M_DEPS += ../../../library/util_axis_fifo/util_axis_fifofifo.v +M_DEPS += ../../../library/util_axis_fifo/util_axis_fifo.v M_DEPS += ../../../library/util_axis_fifo/address_gray.v M_DEPS += ../../../library/util_axis_fifo/address_gray_pipelined.v M_DEPS += ../../../library/util_axis_fifo/address_sync.v @@ -64,33 +64,44 @@ M_DEPS += ../../../library/axi_ad9250/axi_ad9250_alt.v M_ALTERA := quartus_sh --64bit -t -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.sdc' -M_FLIST += -not -name '*.qsys' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST += *.log +M_FLIST += *_INFO.txt +M_FLIST += *_dump.txt +M_FLIST += db +M_FLIST += *.asm.rpt +M_FLIST += *.done +M_FLIST += *.eda.rpt +M_FLIST += *.fit.* +M_FLIST += *.map.* +M_FLIST += *.sta.* +M_FLIST += *.qsf +M_FLIST += *.qpf +M_FLIST += *.qws +M_FLIST += *.sof +M_FLIST += hc_output +M_FLIST += hps_isw_handoff +M_FLIST += hps_sdram_*.csv +M_FLIST += *ddr3_*.csv +M_FLIST += incremental_db +M_FLIST += reconfig_mif +M_FLIST += *.sopcinfo +M_FLIST += *.jdi +M_FLIST += *.pin -.PHONY: all + +.PHONY: all clean clean-all all: usdrx1.qpf -.PHONY: clean clean:clean-all -.PHONY: clean-all clean-all: rm -rf $(M_FLIST) - usdrx1.qpf: $(M_DEPS) rm -rf $(M_FLIST) $(M_ALTERA) system_project.tcl >> usdrx1_quartus.log 2>&1 diff --git a/projects/usdrx1/zc706/Makefile b/projects/usdrx1/zc706/Makefile index 31c44cb66..1648b53b8 100644 --- a/projects/usdrx1/zc706/Makefile +++ b/projects/usdrx1/zc706/Makefile @@ -22,27 +22,28 @@ M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr M_VIVADO := vivado -mode batch -source -M_FLIST := `find . -not -name '.' -M_FLIST += -not -name '*.tcl' -M_FLIST += -not -name '*.v' -M_FLIST += -not -name '*.xdc' -M_FLIST += -not -name '*.vhd' -M_FLIST += -not -name '*.prj' -M_FLIST += -not -name 'Makefile' -M_FLIST += -not -name '*.h' ` +M_FLIST := *.cache +M_FLIST += *.data +M_FLIST += *.xpr +M_FLIST += *.log +M_FLIST += *.jou +M_FLIST += xgui +M_FLIST += *.runs +M_FLIST += *.srcs +M_FLIST += *.sdk +M_FLIST += .Xil -.PHONY: all + +.PHONY: all lib clean clean-all all: lib usdrx1_zc706.xpr -.PHONY: clean -clean: clean-all - - -.PHONY: clean-all -clean-all: +clean: rm -rf $(M_FLIST) + + +clean-all:clean make -C ../../../library/axi_jesd_gt clean make -C ../../../library/util_bsplit clean make -C ../../../library/axi_ad9671 clean @@ -57,7 +58,6 @@ usdrx1_zc706.xpr: lib $(M_DEPS) $(M_VIVADO) system_project.tcl >> usdrx1_zc706_vivado.log 2>&1 -.PHONY: lib lib: make -C ../../../library/axi_jesd_gt make -C ../../../library/util_bsplit