From dbc9da8598395e0437900e47b6675a1da28c31e2 Mon Sep 17 00:00:00 2001 From: Adrian Costina Date: Tue, 25 Nov 2014 14:56:22 +0200 Subject: [PATCH] fmcomms1: Updated KC705 project with latest interrupts. Fixed constraints and constraint priority --- projects/fmcomms1/kc705/system_constr.xdc | 11 ++------ projects/fmcomms1/kc705/system_project.tcl | 3 +++ projects/fmcomms1/kc705/system_top.v | 30 +++++++++++++++++++--- 3 files changed, 31 insertions(+), 13 deletions(-) diff --git a/projects/fmcomms1/kc705/system_constr.xdc b/projects/fmcomms1/kc705/system_constr.xdc index 37a515380..c0e1cec39 100644 --- a/projects/fmcomms1/kc705/system_constr.xdc +++ b/projects/fmcomms1/kc705/system_constr.xdc @@ -85,13 +85,6 @@ set_property -dict {PACKAGE_PIN AF23 IOSTANDARD LVDS_25 DIFF_TERM TRUE} [get_ create_clock -name dac_clk_in -period 2.00 [get_ports dac_clk_in_p] create_clock -name adc_clk_in -period 4.00 [get_ports adc_clk_in_p] create_clock -name dac_div_clk -period 8.00 [get_pins i_system_wrapper/system_i/axi_ad9122/dac_div_clk] -create_clock -name adc_clk -period 4.00 [get_pins i_system_wrapper/system_i/axi_ad9643/adc_clk] -create_clock -name ref_clk -period 33.33 [get_pins i_system_wrapper/system_i/sys_audio_clkgen/clk_out2] -create_clock -name ila_clk -period 8.00 [get_pins i_system_wrapper/system_i/ila_clkgen/clk_out1] - -set_clock_groups -asynchronous -group {dac_div_clk} -set_clock_groups -asynchronous -group {adc_clk} -set_clock_groups -asynchronous -group {ref_clk} -set_clock_groups -asynchronous -group {ila_clk} - +set_false_path -from [get_pins i_system_wrapper/system_i/axi_ad9643_dma/inst/i_request_arb/i_src_dma_fifo/overflow_reg/C] \ + -to [get_pins i_system_wrapper/system_i/sys_wfifo/wfifo_ctl/inst/m_wovf_m1_reg/D] diff --git a/projects/fmcomms1/kc705/system_project.tcl b/projects/fmcomms1/kc705/system_project.tcl index bcbf56bad..51adacf99 100644 --- a/projects/fmcomms1/kc705/system_project.tcl +++ b/projects/fmcomms1/kc705/system_project.tcl @@ -10,6 +10,9 @@ adi_project_files fmcomms1_kc705 [list \ "system_constr.xdc"\ "$ad_hdl_dir/projects/common/kc705/kc705_system_constr.xdc" ] +set_property PROCESSING_ORDER EARLY [get_files $ad_hdl_dir/projects/common/kc705/kc705_system_constr.xdc] +set_property PROCESSING_ORDER EARLY [get_files system_constr.xdc] + adi_project_run fmcomms1_kc705 diff --git a/projects/fmcomms1/kc705/system_top.v b/projects/fmcomms1/kc705/system_top.v index f436aabc8..9e994183d 100644 --- a/projects/fmcomms1/kc705/system_top.v +++ b/projects/fmcomms1/kc705/system_top.v @@ -217,6 +217,7 @@ module system_top ( wire [15:0] adc_data_1; wire ref_clk; wire oddr_ref_clk; + wire [31:0] mb_intrs; // instantiations @@ -332,6 +333,30 @@ module system_top ( .iic_main_scl_io (iic_scl), .iic_main_sda_io (iic_sda), .iic_rstn (iic_rstn), + .mb_intr_10 (mb_intrs[10]), + .mb_intr_11 (mb_intrs[11]), + .mb_intr_12 (mb_intrs[12]), + .mb_intr_13 (mb_intrs[13]), + .mb_intr_14 (mb_intrs[14]), + .mb_intr_15 (mb_intrs[15]), + .mb_intr_16 (mb_intrs[16]), + .mb_intr_17 (mb_intrs[17]), + .mb_intr_18 (mb_intrs[18]), + .mb_intr_19 (mb_intrs[19]), + .mb_intr_20 (mb_intrs[20]), + .mb_intr_21 (mb_intrs[21]), + .mb_intr_22 (mb_intrs[22]), + .mb_intr_23 (mb_intrs[23]), + .mb_intr_24 (mb_intrs[24]), + .mb_intr_25 (mb_intrs[25]), + .mb_intr_26 (mb_intrs[26]), + .mb_intr_27 (mb_intrs[27]), + .mb_intr_28 (mb_intrs[28]), + .mb_intr_29 (mb_intrs[29]), + .mb_intr_30 (mb_intrs[30]), + .mb_intr_31 (mb_intrs[31]), + .ad9122_dma_irq (mb_intrs[12]), + .ad9643_dma_irq (mb_intrs[13]), .mdio_mdc (mdio_mdc), .mdio_mdio_io (mdio_mdio_io), .mii_col (mii_col), @@ -349,10 +374,7 @@ module system_top ( .sys_clk_p (sys_clk_p), .sys_rst (sys_rst), .uart_sin (uart_sin), - .uart_sout (uart_sout), - .unc_int0 (1'b0), - .unc_int1 (1'b0), - .unc_int4 (1'b0)); + .uart_sout (uart_sout)); endmodule